throbber
Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 1 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 1 of 79
`
`EXHIBIT 11
`EXHIBIT 11
`
`

`

`
`
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 2 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 2 of 79
`
`IEEE Std 802.11g™-2003
`(Amendment to IEEE Std 802.11™, 1999 Edition (Reaff 2003)
`as amended by
`IEEE Stds 802.11a™-1999, 802.11b™-1999,
`802.11b™-1999/Cor 1-2001, and 802.11d™-2001)
`
`a
`
`g
`
`IEEE Standard for
`Information technology—
`
`Telecommunications and information
`exchange between systems—
`
`Local and metropolitan area networks—
`
`Specific requirements
`
`Part 11: Wireless LAN Medium Access Control
`(MAC) and Physical Layer (PHY) specifications
`
`Amendment4: Further Higher Data Rate Extension
`in the 2.4 GHz Band
`
`Ss
`
`IEEE Computer Society
`
`Sponsored by the
`LAN/MAN Standards Committee
`
`is an approved IEEE
`This amendment
`Standard. It will be incorporated into the
`base standard in a future edition.
`
`*lEEE
`
`Published by
`The Institute of Electrical and Electronics Engineers, Inc.
`3 Park Avenue, New York, NY 10016-5997, USA
`
`27 June 2003
`
`Print: SH95134
`
`PDF: SS95134
`
`Authorized licensed use limited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002565
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 3 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 3 of 79
`
`IEEE Std 802.11g™-2003
`[Amendment to IEEE Std 802.11™, 1999 Edition (Reaff 2003)
`as amended by
`IEEE Stds 802.11a™-.1999, 802.11b™.1999,
`802.11b™-1999/Cor 1-2001, and 802.11d™-2001]
`
`IEEE Standard for
`information technology—
`Telecommunications and information exchange
`between systems—
`Local and metropolitan area networks—
`Specific requirements
`
`Part 11: Wireless LAN Medium Access
`Control (MAC) and Physical Layer (PHY)
`specifications
`
`Amendment4: Further Higher Data Rate
`Extension in the 2.4 GHz Band
`
`Sponsor
`
`LAN/MAN Standards Committee
`of the
`IEEE Computer Society
`
`Approved 12 June 2003
`
`IEEE-SA Standards Board
`
`Abstract: Changes and additions to IEEE Std 802.11, 1999 Edition, as amended by IEEE Stds
`802.11a-1999, 802.11b-1999, 802.11b-1999/Cor 1-2001, and 802.11d-2001, are provided to sup-
`port the further higher data rate extension for operation in the 2.4 GHz band.
`
`Keywords: LAN, local area network, radio frequency, wireless
`
`The Institute of Electrical and Electronics Engineers, inc.
`3 Park Avenue, New York, NY 10016-5997, USA
`
`Copyright © 2003 by the Institute of Electrical and Electronics Engineers, inc.
`All rights reserved. Published 25 June 2003. Printed in the United States of America.
`
`IEEE and 802 are registered trademarks in the U.S. Patent & Trademark Office, owned bythe Institute of Electrical and
`Electronics Engineers, Incorporated.
`
`Print:
`PDF:
`
`ISBN 0-7381-3700-6
`ISBN 0-7381-3701-4
`
`SH95134
`SS95134
`
`No part ofthis publication may be reproduced in any form, in an electronic retrieval system or otherwise, without the prior
`writien permission of the publisher.
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002566
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 4 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 4 of 79
`
`TEEE Standards documents are developed within the IEEE Societies and the Standards Coordinating Committees of the IEEE Stan-
`dards Association (EEE-SA) Standards Board. The IEEE develops its standards through a consensus development process, approved
`by the American National Standards Institute, which brings together volunteers representing varied viewpoints and interests to achieve
`the final product. Volunteers are not necessarily members of the Institute and serve without compensation. While the IEEE administers
`the process and establishes rules to promote fairness in the consensus development process, the IEEE does not independently evaluate,
`test, or verify the accuracy of any of the information containedin its standards.
`
`Use of an IEEE Standard is wholly voluntary. The TEEE disclaimsliability for any personal injury, property or other damage, of any
`nature whatsoever, whether special, indirect, consequential, or compensatory, directly or indirectly resulting from the publication, use
`of, or reliance upon this, or any other IEEE Standard document.
`
`The IEEE does not warrantor represent the accuracy or content of the material contained herein, and expressly disclaims any express or
`implied warranty, including any implied warranty of merchantability or fitmess for a specific purpose, or that the use of the material
`contained herein is free from patent infringement. IEEE Standards documents are supplied “AS IS.”
`
`The existence of an IEEE Standard does not imply that there are no other ways to produce, test, measure, purchase, market, or provide
`other goods and services related to the scope of the IEEE Standard. Furthermore, the viewpoint expressed at the time a standard is
`approved and issued is subject to change brought about through developments in the state of the art and comments received from users
`ofthe standard. Every IEEE Standard is subjected to review at least every five years for revision or reaffirmation. When a documentis
`more than five years old and has not been reaffirmed, it is reasonable to conclude that its contents, although still of some value, do not
`wholly reflect the present state of the art. Users are cautioned to check to determinethat they have the latest edition of any IEEE Stan-
`dard.
`
`In publishing and making this document available, the IEEE is not suggesting or rendering professional or other services for, or on
`behalf of, any person or entity. Nor is the IEEE undertaking to perform any duty owed by any other person or entity to another. Any per-
`son utilizing this, and any other IEEE Standards document, should rely upon the advice of a competent professional in determining the
`exercise of reasonable care in any given circumstances.
`
`Interpretations: Occasionally questions may arise regarding the meaning ofportions of standards as they relate to specific applications.
`When the need for interpretations is brought to the attention of IEEE,the Institute will initiate action to prepare appropriate responses.
`Since IEEE Standards represent a consensus of concerned interests,it is important to ensure that any interpretation has also received the
`concurrence ofa balance of interests. For this reason, IEEE and the membersofits societies and Standards Coordinating Committees
`are not able to provide an instant response to interpretation requests except in those cases where the matter has previously received for-
`mal consideration.
`
`Comments for revision of IEEE Standards are welcome from any interested party, regardless ofmembership affiliation with IEEE. Sug-
`gestions for changes in documents should be in the form of a proposed changeoftext, together with appropriate supporting comments.
`Comments on standards and requests for interpretations should be addressedto:
`
`Secretary, IEEE-SA Standards Board
`445 Hoes Lane
`P.O. Box 1331
`
`Piscataway, NJ 08855-1331
`USA
`
`Note: Attention is called to the possibility that implementation of this standard may require use of subject matter covered
`by patent rights. By publication of this standard, no position is taken with respect to the existence or validity of any patent
`tights in connection therewith. The IEEE shall not be responsible for identifying patents for which a license may be
`required by an IEEE standard or for conducting inquiries into the legal validity or scope of those patents that are brought
`to its attention. A patent holder hasfiled a statement of assurance that it will grant licenses under these rights without com-
`pensation or under reasonable rates and nondiscriminatory, reasonable terms and conditions to all applicants desiring to
`obtain such licenses. The IEEE makes no representation as to the reasonableness of rates and/or terms and conditions of
`the license agreements offered by patent holders. Further information may be obtained from the IEEE Standards
`
`Department.
`
`Authorization to photocopy portions of any individual standard for internal or personal use is granted by the Institute of Electrical and
`Electronics Engineers, Inc., provided that the appropriate fee is paid to Copyright Clearance Center. To arrange for paymentof licensing
`fee, please contact Copyright Clearance Center, Customer Service, 222 Rosewood Drive, Danvers, MA 01923 USA; +1 978 750 8400.
`Permission to photocopy portions of any individual standard for educational classroom use can also be obtained through the Copyright
`Clearance Center.
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002567
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 5 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 5 of 79
`
`introduction
`
`This introduction is not part of IEEE Std 802.11g-2003 (Amendment to IEEE Std 802.11, 1999 Edition,
`as amended by IEEE Stds 802.11a-1999, 802.11b-1999, 802.11b-1999/Cor 1-2001, and 802.11d-2001),
`IEEE Standard for Information Technology—Telecommunications and Information Exchange between
`Systems—Local and Metropolitan Area Networks—Specific Requirements—Part 11: Wireless LAN Me-
`dium Access Control (MAC) and Physical Layer (PHY) specifications—Amendment4: Further Higher
`
`This amendment is part of a family of standards for local and metropolitan area networks. The relationship
`between the standard and other members of the family is shown below. (The numbers in the figure refer to
`IEEE standard designations.')
`
`.
`| :
`2/8
`|| 2i s
`
`B
`alle a
`(Bg 2
`Q
`g
`a4
`<21/3
`Bi:
`z||3
`e
`2
`
`o B
`
`s
`%
`
`* Formerly IEEE Std 802.1A™.
`
`This family of standards deals with the Physical and Data Link layers as defined by the International
`Organization for Standardization (ISO) Open Systems Interconnection (OSI) Basic Reference Model (ISO/
`TEC 7498-1: 1994). The access standards define five types of medium access technologies and associated
`physical media, each appropriate for particular applications or system objectives. Some access standards
`have been withdrawn and other types are under mvestigation.
`
`The standards defining the technologies noted aboveare as follows:
`
`* IEEE Std 802:2
`
`Overview andArchitecture. This standard provides an overview to the family of
`IEEE 802 Standards.
`
`° IEEE Std 802.1B™
`and 802,1k™
`[ISO/IEC 15802-2]
`
`LAN/MANManagement. Defines an OSI management-compatible architecture
`and services and protocol elements for use in a LAN/MAN environmentfor
`performing remote management.
`
`* IEEE Std 802.1D™
`
`Media Access Control (MAC) Bridges. Specifies an architecture and protocol
`for the interconnection of IEEE 802 LANs below the MAC service boundary.
`
`The IEBE standard designations referred to in the above figure and list are trademarks owned by the Institute of Electrical and
`Electronics Engineers, Incorporated.
`The IEEE 802 Overview and Architecture Specification, originally known as IEEE Std 802.1A, has been renumbered as IEEE Std 802.
`This has been done to accommodate recognition of the base standard in a family of standards. References to IEEE Std 802.1A should be
`considered as references to IEEE Std 802.
`
`Copyright © 2003 IEEE. All rights reserved.
`
`ii
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002568
`
`Data Rate Extension in the 2.4 GHz Band.
`
`
`
`
`
`.
`
`802.2™LOGICALLINK
`

`802.1” BRIDGING
`
`DATA
`LINK
`LAYER
`
`PHYSICAL
`LAYER
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 6 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 6 of 79
`
`* IEEE Std 802.1E™
`[ISO/IEC 15802-4]
`
`System Load Protocol. Specifies a set of services and protocol for those aspects
`those aspects of management concerned with the loading of systems on IEEE
`802 LANs.
`
`° IEEE Std 802.1F™
`
`Common Definitions and Proceduresfor IEEE 802 Management Information.
`
`* IEEE Std 802.1G™
`[ISO/IEC 15802-5]:
`
`+ IEBE Std 802.1H™
`[ISO/IEC TR 11802-5]
`
`* IEEE Std 802.1Q™
`
`Remote Media Access Control (MAC) Bridging. Specifies extensions for the
`interconnection, using non-LAN systems communication technologies, of
`geographically separated IEEE 802 LANsbelowthelevel ofthe logical link
`controlprotocol.
`
`Recommended Practicefor Media Access Control (MAC) Bridging ofEthernet
`V2.0 in IEEE 802 Local Area Networks.
`
`Virtual Bridged Local Area Networks. Defines an architecture for Virtual
`Bridged LANs,the services provided in Virtual Bridged LANs,andthe proto-
`cols and algorithms involved in the provision of those services.
`
`* IEEE Std 802.2
`[ISO/IEC 8802-2]
`
`* IEEE Std 802.3
`
`» IEEE Std 802.5
`[ISO/IEC 8802-5]
`
`* IEEE Std 802.10
`
`* TEEE Std 802.11
`[ISO/IEC 8802-11]
`
`* IEEE Std 802.15
`
`Logical Link Control.
`
`CSMA/CD Access Method and Physical Layer Specifications.
`
`Token Ring Access Method and Physical Layer Specifications.
`
`Standardfor Interoperable LAN Security (SILS). Currently approved: Secure
`Data Exchange (SDE).
`
`Wireless LANMedium Access Control (MAC) Sublayer and Physical Layer
`Specifications.
`
`(MAC) and Physical Layer
`Wireless Medium Access Control
`Specificationsfor: Wireless Personal Area Networks.
`
`(PHY)
`
`* IEEE Std 802.16
`
`Air Interfacefor Fixed Broadband Wireless Access Systems.
`
`The reader of this standard is urged to become familiar with the complete family of standards.
`
`iv
`
`Copyright © 2003 IEEE. All rights reserved.
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002569
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 7 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 7 of 79
`
`Participants
`
`When the IEEE 802.11 Working Group approvedthis standard, it had the following membership:
`
`Stuart J. Kerry, Chair
`Al Petrick and Harry Worstell, Vice-Chairs
`Tim Godfrey, Secretary
`Brian Mathews, Publicity Standing Committee
`Teik-Kheong Tan, Wireless Next-Generation Standing Committee
`
`John Fakatselis, Chair Task Group e
`Duncan Kitchin, Vice-Chair Task Group e
`David Bagby, Chair Task Groupf
`Mika Kasslin, Chair Task Group h
`David Halasz, Chair Task Group i
`
`When the IEEE 802.11 Working Group approved this standard, the Task Group G had the following
`membership:
`
`Matthew B. Shoemake, Chair
`John Terry, Vice-Chair
`Carl F. Andren, Technical Editor
`Kevin Smart, Secretary
`
`Bong-Rak Choi
`Sunghyun Choi
`Ken Clements
`John T. Coffey
`Terry Cole
`Paul Congdon
`Craig Conkling
`Todor Cooklev
`Thomas P. Costas
`Wm. Caldwell Crosswy
`Peter Dahl
`Barry Davis
`Rolf De Vegt
`Javier del Prado
`Michael Derby
`Georg Dickmann
`Wim Diepstraten
`Roger Durand
`Eryk Dutkiewicz
`Mary DuVal
`Donald E. Eastlake II
`Dennis Eaton
`Peter Ecclesine
`Jon Edney
`Christoph Euscher
`John Fakatselis
`Lars Falk
`Augustin J. Farrugia
`Weishi Feng
`Norm Finn
`Matthew James Fischer
`Kenji Fujisawa
`Marcus Gabler
`James Gardner
`Atul Garg
`Al Garrett
`Vafa Ghazi
`Tim Godfrey
`Wataru Gohda
`
`Peter Goidas
`Alex Gorokhov
`Rik Graulus
`Evan Green
`Larry Green
`Patrick Green
`Kerry Greer
`Daging Gu
`Srikanth Gummadi
`Fred Haisch
`David Halasz
`Steve D. Halford
`Mark Hamilton
`Christopher J. Hansen
`Yasuo Harada
`Amer A. Hassan
`Kevin Hayes
`Victor Hayes
`Chris Heegard
`Robert Heile
`Garth Hillman
`Christopher Hinsz
`Jun Hirano
`Mikael Hjelm
`Jin-Meng Ho
`Maarten Hoeben
`Michael Hoghooghi
`Allen Hollister
`Srinath Hosur
`Russell Housley
`Frank P Howley,Jr.
`Dave Hudak
`John Hughes
`David Hunter
`David Hytha
`Hiroshi IdeDaichi Imamura
`Yasuhiko Inoue
`Katsumi Ishii
`Eric Jacobsen
`
`Tomoko Adachi
`Areg Alimian
`Richard Allen
`Keith Amann
`Merwyn Andrade
`Butch Anton
`Mitch Aramaki
`Takashi Aramaki
`Larry Arnett
`Geert A. Awater
`Floyd Backes
`David Bagby
`Jay Bain
`Dennis J. Baker
`Bala Balachander
`Raja Banerjea
`Boyd Bangerter
`Simon Barber
`Gil Bar-Noy
`John Barr
`Kevin M.Barry
`Anuj Batra
`Tomer Bentzion
`Mathilde Benveniste
`Simon Black
`Jan Boer
`Jim Brennan
`Ronald Brockmann
`Alistair G Buttar
`Nancy Cam-Winget
`Bill Carney
`Pat Carson
`Clint Chaplin
`Hung-Kun Chen
`Yi-Ming Chen
`Greg Chesson
`Alan Chickinsky
`Aik Chindapol
`Leigh M. Chinitz
`
`Copyright © 2003 IEEE. All rights reserved.
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002570
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 8 of 79
`Document 32-11 Filed 03/31/23 Page 8 of 79
`Case 6:22-cv-00642-ADA
`
`Marc Jalfon
`Peter Johansson
`David Johnston
`V. K. Jones
`Bobby Jose
`Daryl Kaiser
`Srinivas Kandala
`Jeyhan Karaoguz
`Kevin Karcz
`Mika Kasslin
`Patrick Kelly
`Stuart J. Kerry
`Andrew K. Khieu
`Jamshid Khun-Jush
`Ryoji Kido
`Dukhyun Kim
`Edward Kim
`Je Woo Kim
`Joonsuk Kim
`Ziv Kimhi
`Duncan Kitchin
`Ginter Kleind!
`Cees Klik
`David Kline
`John M. Kowalski
`Bruce P. Kraemer
`Thomas Kuehnel
`Denis Kuwahara
`Joe KwakPaul A. Lambert
`David S. Landeta
`Jim Lansford
`Colin Lanzl
`Kim Laraqui
`Jon LaRosa
`David J. Leach, Jr.
`Dongjun Lee
`Richard van Leeuwen
`Martin Lefkowitz
`Uriel Lemberger
`Onno Letanche
`Mike Lewis
`Sheung Li
`Jie Liang
`Isaac Lim Wei Lih
`Huashih A. Lin
`Shawn Liu
`Titus Lo
`Peter Loc
`Ralph Lombardo,Jr.
`Luke Ludeman
`Yeong-Chang Maa
`Akira Maeki
`Douglas Makishima
`Mahalingam Mani
`Roger Marks
`Brian Mathews
`Jo-Ellen F Mathews
`Mark Mathews
`Thomas Maufer
`Conrad Maxwell
`Justin McCann
`Kelly McClellan
`Gary McCoy
`Bill McFarland
`Gary McGarr
`Bill Mcintosh
`Jorge Medina
`Mehul Mehta
`Pratik Mehta
`
`Robert C. Meier
`Graham Melville
`Klaus Meyer
`Robert Miller
`Partho Mishra
`Yasuhiko Mizoguchi
`Leo Monteban
`Michael Montemurro
`Tim Moore
`Mike Moreton
`Roy Morris
`Robert Moskowitz
`Oliver Muelhens
`Peter Murphy
`Peter Murray
`Andrew Myles
`Ravi Narasimhan
`Kevin Negus
`David B. Nelson
`Dan Nemits
`Chiu Ngo
`Qiang Ni
`Gunnar Nitsche
`Erwin R. Noble
`Hiroshi Nomura
`Tzvetan D. Novkov
`Ivan Oakes
`Bob O’Hara
`Yoshihiro Ohtani
`Kazuhiro Okanoue
`Lior Ophir
`Richard H. Paine
`Mike Paljug
`Vijay M. Patel
`Lizy Paul
`Sebastien Perrot
`Al Petrick
`James Portaro
`Al Potter
`Mike Press
`Ron Provencio
`Henry Ptasinski
`Raad Raad
`Ali Raissinia
`Murali Ramadoss
`Noman Rangwala
`Ivan Reede
`Stanley A. Reible
`Danny Rettig
`Edward Reuss
`Bill Rhyne
`Jim Richards
`David Richkas
`Maximilian Riegel
`Carlos A. Rios
`BennoRitter
`Kent G Rollins
`Stefan Rommer
`Jon Rosdahl
`Pejman Roshan
`Reinhard Ruckriem
`Ali Sadri
`Kenichi Sakusabe
`Antonio Salloum Salazar
`John H. Santhoff
`Anil K. Sanwalka
`Sid Schrum
`Erik Schylander
`Michael Seals
`Joe Sensendorf
`
`Yangmin Shen
`Matthew Sherman
`William Shvodian
`David Skellern
`Donald I. Sloan
`Andrew South
`Dave Smith
`Yoram Solomon
`Wei-Jei Song
`Amjad Soomro
`Gary Spiess
`Dorothy V. Stanley
`Adrian Stephens
`Carl R. Stevenson
`Paul F Struhsaker
`Michael Su
`Masahiro Takagi
`Minoru Takemoto
`Pek-Yew Tan
`Teik-Kheong Tan
`Takuma Tanimoto
`Roger Teague
`Carl Temme
`Yossi Texerman
`Jerry A. Thrasher
`James D. Tomcik
`Walt Trzaskus
`Allen Tsai
`Chih C. Tsien
`Tom Tsoulogiannis
`Toru Ueda
`Naoki Urano
`Niels Van Erven
`Win J. van Houtum
`Richard van Nee
`Patrick Vandenameele
`Dmitri Varsanofiev
`Jagannatha L. Venkatesha
`Madan Venugopal
`Nanci Vogtii
`Dennis Volpano
`Toan X. Vu
`Tim Wakeley
`Jesse R. Walker
`Brad Wallace
`Thierry Walrant
`Christopher Ware
`Fujio Watanabe
`Mark Webster
`Menzo Wentink
`Robert Whelan
`Michael Wilhoyte
`Richard G.C. Williams
`Steven D. Williams
`Timothy G Wong
`Harry Worsteil
`Charles R. Wright
`Micheal Wright
`Liwen Wu
`Yang Xiao
`Shugong Xu
`Jung Yee
`Kit Yong
`Albert Young
`Heejung Yu
`Patrick Yu
`Glen Zorn
`Armoud Zwemmer
`Jim Zyren
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002571
`
`Copyright © 2003 IEEE. All rights reserved.
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 9 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 9 of 79
`
`The following members ofthe balloting committee voted on this standard. Balloters may have voted for
`approval, disapproval, or abstention.
`Butch Anton
`Eladio Arvelo
`David Bagby
`John Barnett
`John Barr
`Jan Boer
`Mitchell Buchman
`Kimara Chin
`Keith Chow
`Terry Cole
`Michael Coletta
`Todor Cooklev
`Todd Cooper
`Guru Dutt Dhingra
`Thomas Dineen
`Sourav Dutta
`Peter Ecclesine
`Darrell Fletcher
`Keng Fong
`Avraham Freedman
`Michele Gammel
`Andrew Germano
`James Gilb
`Tim Godfrey
`Rajugopal Gubbi
`Qiang Guo
`
`Satoshi Oyama
`Sebastien Perrot
`Tan Perryman
`Subbu Ponnuswamy
`Hugo Pues
`Vikram Punj
`Charles Rice
`Maximilian Riegel
`Jon Rosdahi
`Douglas Sanderson
`Michael Seals
`Stephen Shellhammer
`Matthew Sherman
`Neil Shipp
`Gil Shultz
`Kevin Smart
`Amjad Soomro
`Minoru Takemoto
`Jerry A. Thrasher
`Dmitri Varsanofiev
`Hung-yu Wei
`Edward Woodrow
`Harry Worstell
`Jung Yee
`Oren Yuen
`Arnoud Zwemmer
`
`Victor Hayes
`Gerald Heller
`Srinivas Kandala
`Stuart J. Kerry
`Thomas A. Kim
`Yongsuk Kim
`Jobn M. Kowalski
`Pi-Cheng Law
`Amur Leshem
`Daniel Levesque
`Sheung Li
`Jeb Linton
`Kyle Maus
`Michael McInnis
`George Miao
`Apurva Mody
`Leo Monteban
`Mike Moreton
`Andrew Myles
`Charles Ngethe
`Paul Nikolich
`Erwin R. Noble
`Ellis Nolley
`Timothy O’Farrell
`Bob O’Hara
`
`When the IEEE-SA Standards Board approved this standard on 12 June 2003,
`membership:
`
`it had the following
`
`Don Wright, Chair
`Howard M.Frazier, Vice Chair
`Judith Gorman, Secretary
`
`H. Stephen Berger
`Joe Bruder
`Bob Davis
`Richard DeBlasio
`Julian Forster*
`Toshio Fukuda
`Arnold M. Greenspan
`Raymond Hapeman
`
`*Member Emeritus
`
`Donald M. Heirman
`Laura Hitchcock
`Richard H. Hulett
`Anant Jain
`Lowell G. Johnson
`Joseph L. Koepfinger*
`Tom McGean
`Steve Mills
`
`Daleep C. Mohla
`William J. Moylan
`Paul Nikolich
`Gary Robinson
`Malcolm V. Thaden
`Geoffrey O. Thompson
`Doug Topping
`Howard L. Wolfman
`
`Also included are the following nonvoting IEEE-SA Standards Boardliaisons:
`
`Alan Cookson, NISTRepresentative
`Satish K. Aggarwal, NRC Representative
`
`Michelle Turner
`IEEE Standards Project Editor
`
`Copyright © 2003 IEEE. All rights reserved.
`
`vil
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002572
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 10 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 10 of 79
`
`CONTENTS
`
`3.
`
`4.
`
`Deefimitionis «20... cea eseesseseessesseneescensessenssscenseeesscssosnscanasenesvevonpnssnesveesecssessnsasonssconseonsonserensanssstensoaenasens 2
`
`Abbreviations and acronyms ...........ccsscccsesccssssscescssesssscesscsssssecsseresaesssvsecssseeecanesseauecesanepsessesseensesesaess 2
`
`
`
`7,—-Frame formats oe eccsecesseesscsescsacenacesneescessecseersecscnessserscceessesenseaeessseesseneneseseuscesarsessarseneasensensnease 2
`
`7.2 Format of individual frame types............-..cccccccsssseesesceeseeeecneeseeoeenteceensessessessessteneatessesnsenennecateceass 2
`7.21
` Comtrol frames 2.00... esesesesssecscecescessccsneeseensecsesenscnsacseerssessvasaesensenssesnsssscoseesaesttsseousensese 2
`7.21.2 CTS frame format 00... eccescsscsessesnesersesceseseenesessssseesseeseonsecerecsesetesensessersase 2
`7.2.3 Management frarmes.........scecessessescssecsscesesccesssnetseesserseneesecsecsseseeessaessscsuseceaeeeseaesseenseaeenees 2
`7.2.3.1
`Beacon frame format ...........scsscsscstsseeseesseessesseeussecseesscsuscneserseesesesecussisssevasenses 3
`7.2.34 Association Request frame format..........c..cccsccscssssessscesscssscsstestessesssensssereets 3
`7.2.3.5 Association Response frame format.............:cccsscsssccseecssesseneneccenesscesensearasents 4
`7.2.3.6 Reassociation Request frame format ...........ccsscsesseceseesseseseeseesnetssseesensessaeeens 4
`7.2.3.7 Reassociation Response frame format 0.0...ccscsscsssesscsnsesessereessersocnssessenseenees 4
`7.2.3.8
`Probe Request frame format............cccccceessessesseescenecenenecseenseesensecstesecsenesenesees 5
`7.2.3.9
`Probe Response frame format... ccsessescesecneseeeneveeesensesonseeenersecuessensessseeass 5
`7.3 Managementframe body component...........csesssssssstssesserseesscsseescesensesnecetaecaentseseseanenenseaeneenes 6
`TiB.1
`Fixed fields oo. cceesccssssssscescenseseeeecenssseseceessaetsecseeessaeesecsecsseseeeessesssceuseneaeesseraesseesseateners 6
`7.3.14 Capability Information field 0... ecesssccsessesersersccsstenservecerscsanerssesenesseeserens 6
`7.3.1.9
`Status code field cessenseceecesesesceenensceesevessssesessesensenseessecasensecseseeaeaneesseas 8
`Information element..........cc:ccsescescseessesssecssneeesenensesessesaeaesacsnsasseeseocenseassvaceaceteaetsentenaaeess 8
`7.3.2.2
`Supported Rates element ..0.......cccscccssesssscsserenerssssersceeosseesonseeesevseesensensessseeens 8
`7.3.2.13 ERP Information clement .0.......:ccscsesssssneesscrsssersesssetsenevensocersesasenseensesacensenaes 9
`7.3.2.14 Extended Supported Rates clement...............:ccscccsssceescoreesceseeseseteneeseeresanontens 10
`
`7.3.2
`
`9. MAC sublayer functional description..........ccecesscsssscssescecesesnesersesceseseeneseseecasansensaessecessesssntesensensanes 11
`
`D2 DCB oe eesecescesecrstesnsssecssceseesecennensnessenesenssenssesscnssuescevoesssavavousersssesenesecsssaseesaccavavanersesassvonsssaees 11
`O.2.11 NAY distribution oo. ecesseeseseseeseneneentecactessensneaessasensreaensnesensenessneneeatseceneeseneneaees 11
`9.2.12 Determination of PLME aCWain characteristics ..........cccsccsssesscescescsseestsesecseensseesseeeass 11
`9.6 Multirate Support... ceeseessscsscesscnseseneecscensessesuseensevoesssenvsassossasensansesuvasenesvecensnusoeseenasaerenenens 12
`9.7 Frame exchange sequences ..........cssssssessenssssnscsssenssssnsesseanensvseesnsaacnnssasensenssoenasensennsanseesaacageeasants 13
`9.10 Protection mechanism ..............ccccccscsscsseccecsseneecscnecoeeceusseaecesessesseaeeanensceaescescensesaseneecareteaeensenscases 13
`
`
`
`10.—-Layer management... ccscesscssesssccreneenesscensenscnssaceanensesevensasenacnsseasseesousneanensesnssonsnenecasensesstscensensenas 14
`
`10.4 PLME SAP interface... ccesesecssescsssccescssccsnsnenssnecenensecnsevsasisesaseceusnesneeascnseeuenesassnecneseneners 14
`10.4.4 PLME-DSSSTESTMODE.......c.cssscesscssessessnsenssseneensscerecasensseenesessenscnstatsecasonsoenseceoeenenes 14
`10.4.4.2 PLME-DSSSTESTMODErequest.........c:cscssesssssssessesensessesstereetsesttecssnetscenses 14
`
`18.
`
`High Rate direct sequence spread spectrum (HR/DSSS) PHY specification ............ccseeseeeneesenes 14
`
`18.2 High Rate PLCP sublayer 0...ccsscssscsccsescssesssessssesesessesensesavsssessesseensatenensoneecseescseeneteeseenests 14
`18.2.2 PPDU format... ccccccsescsscssecescssseerscesessesenseasssenecavaceresesesssesuenessenscnsnensecessesacsssteeseeenes 14
`18.2.2.2 Short PPDU format (optional) .0........ccsscesssseseecescesseesseaeenecatnessetneceseesesctaes id
`
`Vili
`
`Copyright © 2003 IEEE. All rights reserved.
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restrictions apply.
`
`DELL-OZMO-1-002573
`
`

`

`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 11 of 79
`Case 6:22-cv-00642-ADA Document 32-11 Filed 03/31/23 Page 11 of 79
`
`19.
`
`Extended Rate PHY specification..............cscsscccsscsscesescsssccsssscessectecsecsenscenseecesensssacsenseastecaseseasessnees 15
`
`19,1 OVOrVIOW ces cccsecssereetesscetsssscessnscnscseeusenseevessesenssasesesunneseessneesseansraseecinssnesenecaessessnsesassansanienseaseess 15
`VOL. Tntrodtction... cscs cs seessseseesseseseesseensessscssessecssessecssoussedesessscesseseenseaneessaceseenenesaaees 15
`19.1.2 Operational modes .........ccccsscesscecsscnsosencssenssssecessensenessceseaessaescesensenssasssscesaesenessaneseneess 15
`19.1.3 Scope... cscscsscssssserecessesseesrsnasensenaerstsccessenscsenscsseeessenessazaeessenssenenasenesessscnseensesasenenes 16
`19.1.4 Extended Rate PHY functions .0....... cc ecssecsssesscssecnsecesssssesasesssesacetseseeaseesacessrsesensoes 16
`19.2 PHY specific service parameter list................cccecscecceseceeesceneeneeseeseensencesceneescenscasesceseasenseaceasenees 17
`19.3 Extended Rate PLCP sublayeroes ccsesscessssessssessocens cones cacesvscsnesasscssescescsaaseceseeesseasensaeenseseas 18
`19.3.1 Introduction... cscs csscesssesessseseseesseessessacssessecsseeasecssosssedesessscesseseenseansessaceseenenesaaees 18
`19.3.2 PPDU format.........cccsccessessessssecsecescsescessecseesssceasesseessecsocsseansecsasessesasensacsasessecsoenseesese 18
`19.3.2.1 Long preamble PPDU format ..000.... cccsccssessessceseeseteeacenscensessaaessessetseneteneeas 19
`19,.3.2.1.1 ERP PLCP length field calculation...........-.:cscscescsccccesscessseeeeeseess 19
`19,3.2.1.2 ERP-PBCC PLCP length (LENGTH) field calculation............... 19
`19.3.2.2 Short preamble PPDU format 0.0... ceecessesesee scenes ceeeneeteneaseeneseneetneeeneneates 20
`19.3.2.3, ERP-OFDM PPDU format «000... ceessensseceeeseseneesesesecenneeneeaeannerseneaeanenneeens 20
`19.3.2.4 DSSS-OFDM long preamble PPDU format.................ccecccsceceeceeseeeeeteeeteceees 21
`19.3.2.4.1 DSSS-OFDM PLCPlength field calculation...eee 21
`19.3.2.5 Short DSSS-OFDM PLCP PPDU format... ceeessesseseeenseetersreeensneneneeees 22
`19.3.3 PLCP data modulation and rate Change ...........sscscceccseesseseeceteeeeeesen cases cesesensesenenseeeeteneaes 22
`19.3.3.1 Long and short preamble formats.............cecseeeescesceseeeeeeneeeeonensensentceasesoeees 22
`19.3.3.2 ERP-PBCC 22 Mbit/s and 33 Mbit/s formats... seeeseseeeereeeneteeneneeeee 23
`19.3.3.3, ERP-OFDM format... ecceessceseseneesecneeensesseesecansecse senses anseseeanersaeaeensenees 25
`19.3.3.4 Long & short DSSS-OFDM PLCP format....esecseesseceeeeeeteseeeneceseneaneeeerns 25
`19.3.3.4.1 Overview of the DSSS-OFDM PLCP PSDUencodingprocess... 26
`19.3.3.4.2 Long sync training sequence definition ..............c:cscsssserseesessees 26
`19.3.3.4.3 OFDM signal field definition 2.0.0...ceeceeceseeceeeeceesteeseteeserseees 26
`19.3.3.4.4 Data symbol definition... ec eecsecceeecssessscescnseecseceneetsaeenteneeeneees 26
`19.3.3.4.5 DSSS-OFDM signal extension ............:ccsceescecseerecensencrereeetaeeerenes 27
`19.3.4 PLCP transmit procedure... cccseeesecsseesseeeeeesecesseaeenusenesssacssenensasnecuaecesseusennseesaeasenes 27
`19.3.5 COA We ieeccsseescsnsescnsenencncsesenssersnenseeaverssacnecanacesnsneecavanaessnseasacaasssesiesanapsnsenevssteanetaenensrens 27
`19.3.6 PLP receive procedure .........seccsesceesssceseeesecsececeneeceassessessescaesesaesnese conse caaeesaneseetacnees 27
`19.4 ERP PMDoperating specifications (gemeral)..........cescessceseceeecesceseeeeensnaeseenecasnenseeeacaneeeneaeaens 28
`19.4.1 Regulatory requircment...........ecsesesenesececentensenneeensecnecensensensnesesntsensennensaseansentecneseneene’ 28
`19.4.2 Operating channel frequencics............cccsscceccsscssssssesssaessecsecsusorssceeessncatesescetssesuecsecerentens 28
`19.4.3 Transmit and receive in-band and out-of-band spurious emisSiONs ........0... cee 28
`19.4.4 Slot time... ee esseeecnsesesenesessnerseesversssecscaeecestsesensensnsssecavsnsesavecaesanersnosnseenensavensenenseens 28
`19.4.5 STFS Value... ceseeceeseeseeeeeeseessessecavsnensessecsecnsnsevecsseceaessssnnseesaesevseasensassecosesssaeeseeeees 28
`19.4.6 CCA performance 000...secceccssccseeeeteneecseencenteensanesesusecuseeseusensaeaseusseusetvansasensentneeaaeaeeet® 28
`19.4.7 PMD transmit specifications................ccseecsesececceseteeessceseceseeseesaenceaesscetaeeseceseetaeneneseeacente 29
`19.4.7.1 Transmit power levels ............tccccescscesecesetseecsseceeseseesseeseeeaesesaeeeesetesanseeeneeceees 29
`19.4.7.2 Transmit center frequency tolerance... .eceeeescessccseeeeeereeeeoneeseententeceaneaoeees 29
`19.4.7.3 Symbol clock frequency tolerance... cece eceeneeteerereneeeeneceereneecsecetareasens 29
`19.5 ERP operation specifications ..........cc.ccssesscsssssscssssceoesseceusscuossseusscssecsssnesseeesauesucotseessssaeessnezetsass 29
`19.5.1 Receiver minimum input level semsitivity2... eee ce eee ceeeeeeeeeeceeeeteneeseeeecaeeeneesenees 29
`19.5.2 Adjacent channel rejection... eccesecceecreecseesscensecssesseotseesceansenaecnsaetaeseseetecauesesaeaceete 30
`19.5.3 Receive maximum input level capability... eeceeeeseeeeeseeeeeeeeeeseneeeeeneeeecseeenseeeeeees 30
`19.5.4 Transmit spectral mask .......2........cccsceeccseeececeececenceceeseceesececeseeseeseesersoeseesoeseseareeeseseneeaeeess 30
`19.6 ERP-PBCC operation specifications ...........c:.ccecssecessesseeseseesensecsecanensneesseeesenseeeneeaeensaeeasneeenerss 30
`19.6.1 Receiver minimum input level sensitivity ...........csecscceeteeeceeeececeeseeseenesecaeeeraneseeteenees 30
`19.6.2 Receiver adjacent chammel rejection 2.0...scene escesececeereteesereeceneeneersneeceerenseneneeseeeavens 30
`
`Copyright © 2003 IEEE. All rights reserved.
`
`IX
`
`Authorized licensed uselimited to:
`
`Downloaded on January 16,2023 at 15:46:33 UTC from IEEE Xplore. Restricti

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket