throbber
Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 1 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 1 of 19
`
`EXHIBIT 7
`EXHIBIT 7
`
`
`
`
`
`

`

`case 620-ev-01216-ADA DocumerlMMBIDLHTTANEMERLT TARTFRE
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 2 of 19
`US006127070A
`6,127,070
`(114) Patent Number:
`United States Patent 55
`Yang etal.
`[45] Date of Patent:
`Oct. 3, 2000
`
`
`[75]
`
`[54] THIN RESIST WITH NITRIDE HARD MASK
`FOR VIA ETCH APPLICATION
`Inventors: Chih Yuh Yang, San Jose; Christopher
`:
`.
`F. Lyons, Fremont; Harry J. Levinson,
`Saratoga; Khanh B. Nguyen, San
`Matco; Fei Wang; Scott A. Bell, both
`of San Jose, all of Calif.
`
`[73] Assignee: Advanced Micro Devices, Inc.,
`Sunnyvale, Calif.
`
`FOREIGN PATENT DOCUMENTS
`62-144342
`6/1987
`Japan .
`ooeere AY1993
`Japan.
`-
`/1996
`Japan .
`10-065000
`3/1998
`Japan .
`OTHER PUBLICATIONS
`“Reactive-Ion Etch of Silicon Nitride Spacer with High
`Selectivity to Oxide”; Regis et al; abstract only; 1997.
`IEEE/SEMI Adv. Semicond. Manuf. Conf. Workshop, 8”.
`Primary Examiner—William Powell
`Assistant Examiner—George Goudreau
`Attorney, Agent, or Firm—Amin, Eschweiler & Turocy,
`LLP
`[57]
`
`ABSTRACT
`
`[21] Appl. No.: 09/203,283
`[22]
`Filed:
`Dee. 1, 1998
`[SD]
`Tint, C07 oeecccccsseeeeesecsccssnnnnsccccesnnnnneess HO1L 21/302
`[52] US. Ce eccsssssssssssssssssesn 430/5; 438/723; 438/724,|A method of forming a via structure is provided. In the
`438/725; 438/692; 438/694; 438/700; 438/736
`method, a dielectric layer is formed on an anti-reflective
`[58] Field of Search oo...eceeeeees 438/636, 723,
`coating (ARC) layer covering a first metal layer, and a
`438/724, 725, 692, 694, 700, 736, 743,
`nitride layer is formed on the dielectric layer. An ultra-thin
`744; 430/5
`photoresist layer is formed on the mitride layer, and the
`ultra-thin photoresist layer is patterned with short wave-
`length radiation to define a pattern for a via. The patterned
`References Cited
`[56]
`ultra-thin photoresist layer is used as a mask duringafirst
`U.S. PATENT DOCUMENTS
`etch step to transfer the via pattern to the nitride layer. The
`first etch step includes an etch chemistry that is selective to
`the nitride layer over the ultra-thin photoresist layer and the
`dielectric layer. The nitride layer is employed as a hard mask
`during a second etch step to form a contact hole correspond-
`ing to the via pattern by etching portions of the dielectric
`layer.
`
`11/1981 Ochietal. .
`4,299,911
`11/1984 Stocker oo. eceeeseeseeseeeeeeeeeee 156/643
`4,484,979
`8/1991 Rauschenbachetal. .
`5,040,020
`7/1996 Hill et al.
`.
`5,534,312
`3/1997 Boschet al. ween 156/643.1
`5,611,888
`5/1998 Chungetal. .
`5,757,077
`7/1998 Jang et al.
`.
`5,786,262
`5,817,567 10/1998 Jang et al.
`.
`
`2 Claims, 9 Drawing Sheets
`
`WSS 210
`
`OS
`
`SX
`
`a 32
`
`—
`
`CA
`
`% POPCOCOOOOIOIE]
`
`490
`
`60
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 3 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 3 of 19
`
`U.S. Patent
`
`6,127,070
`
`Oct. 3, 2000
`
`Sheet 1 of 9
`
` HYJ0lid|‘bi
`SOICIIIRIINNQ7.CDTWGTT
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 4 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 4 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 2 of 9
`
`6,127,070
`
`ty
`
`_ ys
`: a!
`|
`
`ty
`
`: he
`|
`
`I
`
`b
`
`:. --
`
`Fig. 3a
`
`a— 32,
`
`Fig. 3c
`a— 32, Fig. 3e
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 5 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 5 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 3 of 9
`
`6,127,070
`
`AA
`AA
`OOO ICN
`CORRRH
`
`62
`
`,
`*
`&7.9.BOBESS
`
`,
`
`,
`
`}
`
`h
`
`‘
`
`‘
`
`;
`
`,
`
`,
`
`,
`
`‘
`
`,
`
`h
`
`,
`
`,
`
`qd
`
`;
`
`,
`
`,
`
`,
`
`,
`
`J
`
`QJ
`
`aGA
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 6 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 6 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 4 of 9
`
`6,127,070
`
`
`
`
`
`FGGGSGaaaaSS
`
`Fig. 7
`
`HEVELUEL LTTE EET Ee
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 7 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 7 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 5 of 9
`
`6,127,070
`
`HATE EEE A”
`
`Fig. 11
`
`HEH ULELGLT ERLE
`
`—____—_—__—_—peee
`PTTTTITOLILLILLL LLLD)
`.-”!”!t”t”té‘OCOC;COCOCOC;CCOREEOO
`TITLE
`TTTTIETLCNIIll(kw!!!ULE
`
`ITTIggPieargh hengeig igan ligetnghenelinghennbeed
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 8 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 8 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 6 of 9
`
`6,127,070
`
`POOLI
`
`Fig. 13
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 9 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 9 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 7 of 9
`
`6,127,070
`
`170
`
`Leb b bbb bd debe died ddede
`
`\ROR ARIS IOISSSI
`
`IIIRII ILI IILPIII
`
`PSSoGSOXMIN\LPS
`
`Al
`
`SS SSIS ISS DDD tee btpS SOOO POPP sy
`
`Fig. 17
`
`i.
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 10 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 10 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 8 of 9
`
`6,127,070
`
`190
`
`Fig. 19
`
`i.IOI DFP P IOP LD OS LODOLE
`
`POGILLISQLOVOF5
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 11 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 11 of 19
`
`U.S. Patent
`
`Oct. 3, 2000
`
`Sheet 9 of 9
`
`6,127,070
`
`Or
`

`
`homeawmy
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 12 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 12 of 19
`
`6,127,070
`
`1
`THIN RESIST WITH NITRIDE HARD MASK
`FOR VIA ETCH APPLICATION
`
`TECHNICAL FIELD
`
`invention generally relates to photo-
`The present
`lithography, and moreparticularly relates to a method of
`forming sub-micron vias using short wavelength radiation
`and ultra-thin photoresists.
`BACKGROUND OF THE INVENTION
`
`10
`
`2
`(EUV) radiation and/or deep UV radiation in fabricating
`vias. As noted above, EUV and deep UV radiation are
`preferred radiation sources in lithographic processes where
`fine resolution is desired. The short wavelengths of these
`types of radiation afford for fine patterning (e.g., critical
`feature sizes<0.25 um). However, these types of radiation
`are highly absorbed by photoresist material which conse-
`quently limits the depth of penetration by the radiation into
`the photoresist material.
`By employing a nitride layer to be patterned as a hard
`mask for use in connection with etching the vias, the present
`invention affords for expanding available etch chemistries
`useable in EUV and/or deep UV lithographic processes. In
`particular, these types of lithographic processes require the
`use of very thin photoresists as a result of the depth of
`penetration limitations of the short wavelength radiation.
`Such very thin photoresists are limited in their capacity as
`etch barriers due to the thickness thereof.
`
`In the semiconductor industry, there is a continuing trend
`toward higher device densities. To achieve these high
`densities, there has been and continues to be efforts toward
`scaling down the device dimensions (e.g., at submicron
`levels) on semiconductor wafers. In order to accomplish
`such high device packing density, smaller and smaller fea-
`ture sizes are required. This may include the width and
`spacing of interconnecting lines, spacing and diameter of
`is
`the ultra-thin photoresist
`invention,
`In the present
`contact holes (vias), and the surface geometry such as
`employed in patterning and etching (e.g., with a high selec-
`corners and edges of various features.
`tivity fluorocarbon plasma) the nitride layer thereunder to
`The requirement of small features with close spacing
`form a hard mask. A via pattern formed in the photoresist
`between adjacent features requires high resolution photo-
`with the short wavelength radiation is transferred to the
`lithographic processes.
`In general,
`lithography refers to
`nitride layer byafirst etch step. The patterned nitride layer
`processes for pattern transfer between various media. It is a
`25
`is used as a hard mask for a subsequent second etch step to
`technique used for integrated circuit fabrication in which a
`etch a dielectric layer so as to form contact holes therein
`siliconslice, the wafer, 1s coated uniformly with a radiation-
`corresponding to the via pattern. Thereafter, standard via
`sensitive film, the photoresist, and an exposing source (such
`formation processes are performedto fill the contact holes,
`as optical light, x-rays, or an electron beam) illuminates
`planarize the filler material, etc. to form the via having a
`selected areas of the surface through an intervening master
`cross-section with a largest transverse dimension less than
`template, the mask, for a particular pattern. The photoresist
`0.25 um. Thus,
`the present invention affords for taking
`receives a projected image of the subject pattern. Once the
`advantage of the fine resolution patterning available from
`imageis projected, it is indelibly formed in the photoresist.
`EUVand deep UVlithographic processes and mitigates the
`The projected image may beeither a negative or a positive
`limitations associated therewith with respect to etch chem-
`image of the subject pattern. Exposure of the photoresist
`istry.
`through a photomask causes the image area to becomeeither
`One specific aspect of the present invention relates to a
`more or less soluble (depending on the coating) in a par-
`method of forminga via structure. In the method,a dielectric
`ticular solvent developer. The more soluble areas are
`layer is formed on an anti-reflective coating (ARC) covering
`removed in the developing process to leave the pattern
`a first metal layer. A nitride layer is formed on the dielectric
`image in the photoresist as less soluble polymer.
`layer. An ultra-thin photoresist layer is formed on the nitride
`Projection lithography is a powerful and essential tool for
`layer, and the ultra-thin photoresist layer is patterned with
`microelectronics processing. As feature sizes are driven
`short wavelength radiation to define a pattern for the via
`smaller and smaller, optical systems are approaching their
`structure. The patterned ultra-thin photoresist layer is used
`limits caused by the wavelengths of the optical radiation. A
`as a mask duringafirst etch step to transfer the via pattern
`recognized way of reducing the feature size of circuit
`45
`to the nitride layer. The first etch step includes an etch
`elements is to lithographically image the features with
`chemistry that
`is selective to the nitride layer over the
`radiation of a shorter wavelength. “Long” or “soft” x-rays
`ultra-thin photoresist layer. The nitride layer is employed as
`(a.k.a, extreme ultraviolet (EUV)), wavelength range of
`a hard mask during a secondetch step to form a contact hole
`lambda=50 to 700 Angstroms(A)are nowatthe forefront of
`corresponding to the via pattern by etching portions of the
`research in an effort to achieve the smaller desired feature
`sizes.
`dielectric layer.
`Another aspect of the present invention relates to a via
`Although EUV lithography provides substantial advan-
`structure having a largest transverse dimension below about
`tages with respect to achieving high resolution patterning,
`0.18 um. In forming the structure, In the method,a dielectric
`the shorter wavelength radiation is highly absorbed by the
`layer is formed on an anti-reflective coating coveringafirst
`photoresist material. Consequently, the penetration depth of
`metal layer. A nitride layer is formed on the dielectric layer.
`the radiation into the photoresist is limited. The limited
`An ultra-thin photoresist layer is formed on the nitride layer.
`penetration depth of the shorter wavelength radiation
`The ultra-thin photoresist
`layer is patterned with short
`requires the use of ultra-thin photoresists so that the radia-
`wavelength radiation to define a pattern for the via structure.
`tion can penetrate the entire depth of the photoresist in order
`The ultra-thin photoresist layer is used as a mask during a
`to effect patterning thereof. However, the thinness of such
`first etch step to transfer the via pattern to the nitride layer,
`ultra-thin photoresists results in the etch resistance thereof to
`the first etch step including an etch chemistry that is selec-
`be relatively low.
`In other words,
`the etch protection
`tive to the nitride layer over the ultra-thin photoresistlayer.
`afforded by ultra-thin photoresists is limited which in turn
`Thenitride layer is used as a hard mask during a second etch
`limits the EUV lithographic process.
`step to form a contact hole correspondingto the via pattern
`SUMMARYOF THE INVENTION
`by etching portions of the dielectric layer.
`Another aspect of the present
`invention relates to a
`method of forminga via structure. In the method,a dielectric
`
`15
`
`20
`
`30
`
`35
`
`40
`
`50
`
`60
`
`65
`
`The present invention relates to a method to facilitate
`lithographic processes employing extreme ultra-violet
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 13 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 13 of 19
`
`6,127,070
`
`3
`4
`FIG. 5 is a schematic cross-sectional illustration of a
`layer is formed on an anti-reflective coating coveringafirst
`dielectric layer formed over the ARC layer of FIG. 4 in
`metal layer. A nitride layer is formed on the dielectric layer,
`accordance with one aspect of the present invention;
`the nitride layer having a thickness within the range of 50
`FIG. 6 is a schematic cross-sectional illustration of a
`A-2000 A.An ultra-thin photoresist layer is formed on the
`nitride layer formed over the dielectric layer of FIG. 5 in
`nitride layer, the ultra-thin photoresist layer having a thick-
`ness within the range of 50 A-2000 A. The ultra-thin
`accordance with one aspect of the present invention;
`FIG. 7 is a schematic cross-sectional illustration of an
`photoresist layer is patterned with short wavelength radia-
`ultra-thin photoresist layer formed over the nitride layer of
`tion to define a pattern for the via structure,
`the short
`FIG. 6 in accordance with one aspect of the present inven-
`wavelength radiation falling within the range of about 11 nm
`tion;
`to 13 nm. Theultra-thin photoresist layer is used as a mask
`FIG. 8 is a schematic cross-sectional illustration of the
`duringafirst etch step to transfer the via pattern to the nitride
`ultra-thin photoresist layer of FIG. 7 undergoing a patterning
`layer, the first etch step including an etch chemistry that is
`step in accordance with one aspect of the present invention;
`selective to the nitride layer over the ultra-thin photoresist
`FIG. 9 is a schematic cross-sectional illustration of the
`layer and the dielectric layer. The nitride layer is used as a
`ultra-thin photoresist layer of FIG. 8 after the patterning step
`hard mask during a second etch step to form a contact hole
`is substantially complete in accordance with one aspect of
`corresponding to the via pattern by etching portions of the
`the present invention;
`dielectric layer.
`FIG. 10 is a schematic cross-sectional illustration of the
`Yet another aspect of the present invention relates to a
`nitride layer of FIG. 9 undergoing an etching step in
`method of forming a multi-layered interconnect structure. In
`accordance with one aspect of the present invention;
`the method, a first dielectric layer is formed on an anti-
`FIG. 11 is a schematic cross-sectional illustration of the
`reflective coating coveringafirst metal layer. A nitride layer
`nitride layer of FIG. 10 after the etching step is substantially
`is formed on the dielectric layer, the nitride layer having a
`complete in accordance with one aspect of the present
`thickness within the range of 50 A-2000 A. An ultra-thin
`invention;
`FIG. 12 is a schematic cross-sectional illustration of the
`photoresist layer is formed on the nitride layer, the ultra-thin
`photoresist layer having a thickness within the range of 50
`nitride layer and dielectric layer of FIG. 11 undergoing an
`A-2000 A.Theultra-thin photoresist layer is patterned with
`etching step in accordance with one aspect of the present
`short wavelength radiation to define a pattern for a via, the
`invention;
`FIG. 13 is a schematic cross-sectional illustration of the
`short wavelength radiation falling within the range of about
`11 nm to 13 nm. Theultra-thin photoresist layer is used as
`nitride layer and dielectric layer of FIG. 12 after the etching
`30
`a mask duringafirst etch step to transfer the via pattern to
`step is substantially complete to form a contact hole in
`the nitride layer. The first etch step includes an etch chem-
`accordance with one aspect of the present invention;
`FIG. 14 is a schematic cross-sectional illustration of the
`istry that is selective to the nitride layer over the ultra-thin
`photoresist layer. The nitride layer is employed as a hard
`contact hole of FIG. 13 undergoingafilling (plugging) step
`with a conductive material to form a via in accordance with
`mask during a second etch step to form a contact hole
`35
`corresponding to the via pattern by etching portions of the
`dielectric layer. The contact hole is filled with a conductive
`material so as to form the via. The hard mask is removed and
`the conductive material planarized via CMP. A second metal
`layer is formed over the via, and a second dielectric layer is
`formed over the second metal layer.
`To the accomplishmentof the foregoing and related ends,
`the invention, then, comprises the features hereinafter fully
`described and particularly pointed out in the claims. The
`following description and the annexed drawingsset forth in
`detail certain illustrative embodiments of the invention.
`These embodimentsare indicative, however, of but a few of
`the various ways in which the principles of the invention
`may be employed. Other objects, advantages and novel
`features of the invention will become apparent from the
`following detailed description of the invention when con-
`sidered in conjunction with the drawings.
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`10
`
`15
`
`20
`
`25
`
`40
`
`50
`
`one aspect of the present invention;
`FIG. 15 is a schematic cross-sectional illustration of a via
`after the filling step of FIG. 14 is substantially complete in
`accordance with one aspect of the present invention;
`FIG. 16 is a schematic cross-sectional illustration of the
`conductive material of FIG. 15 undergoing a planarization
`process in accordance with one aspect of the present inven-
`tion;
`FIG. 17 is a schematic cross-sectional illustration of the
`
`via substantially complete in accordance with one aspect of
`the present invention;
`FIG. 18 is a schematic cross-sectional illustration of a
`
`second metal layer being formed over the via structure in
`accordance with one aspect of the present invention;
`FIG. 19 is a schematic cross-sectional illustration of the
`second metal layer formed in substantial part in accordance
`with one aspect of the present invention;
`illustration of
`FIG. 20 is a schematic cross-sectional
`second dielectric layer being formed over the second metal
`layer in accordance with one aspect of the present invention;
`FIG. 21 is a schematic cross-sectional illustration of the
`second dielectric layer formed in substantial part so as to
`form a multi-layered interconnect structure in accordance
`with one aspect of the present invention; and
`FIG. 22 is a perspective illustration of the multi-layered
`interconnect structure of FIG. 21 in accordance with one
`aspect of the present invention.
`DETAILED DESCRIPTION OF THE
`INVENTION
`
`FIG. 1 is a prior art schematic cross-sectional illustration
`of a conventional patterned resist used in the formation of
`vias;
`FIG. 2 is a perspective illustration of a multi-layered
`interconnectstructure employing vias formed in accordance
`with one aspect of the present invention;
`FIGS. 3a—3e illustrate representative filled via structures
`which may be formed in accordance with the present inven-
`tion
`FIG. 4 is a schematic cross-sectional illustration of a
`metal layer having an anti-reflective coating (ARC) formed
`thereon in accordance with one aspect of the present inven-
`tion;
`
`55
`
`60
`
`65
`
`The present invention will now be described with refer-
`ence to the drawings, wherein like reference numerals are
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 14 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 14 of 19
`
`6,127,070
`
`5
`usedto refer to like elements throughout. The method of the
`present invention will be described with reference to the
`formation of vias using a photolithographic process employ-
`ing radiation of short wavelength (e.g., EUV radiation
`and/or deep UVradiation) and an ultra-thin photoresist. The
`following detailed description is of the best modes presently
`contemplated by the inventors for practicing the invention.
`It should be understood that the description of these pre-
`ferred embodiments are merely illustrative and that they
`should not be taken in a limiting sense.
`FIG. 1 is a cross-sectional illustration of a conventional
`photoresist layer 20 being used in the formationof via(s). As
`shown, the photoresist layer 20 is substantially thick (e.g.,
`5,000-10,000 A). The photoresist layer 20 is shown pat-
`terned so as to define a via which will be etched into an
`
`underlying dielectric layer 22 so as to form a contact hole to
`an underlying anti-reflective coating layer 24 and a metal
`layer 26 However, the thickness of the photoresist layer 20
`is not conducive for use with short wavelength radiation
`because these types of radiation would be highly absorbed
`by the photoresist layer 20 and not penetrate the entire
`thickness “t” of the layer 20. As a result, such a conventional
`scheme for forming a via would not be able to take advan-
`tage of the improved resolution of patterning offered by the
`short wavelength radiation.
`Turning now to the present invention in detail, FIG. 2
`illustrates an interconnect structure 30 having vias 32
`formed in accordance with the present invention. The vias
`32 are filled with a suitable material (e.g., tungsten, copper)
`to form plugs which provide conductive pathways through
`an insulating dielectric medium 40 to connect interconnects
`of different conductor layers 50, 52. Although, the present
`invention is described with respect to forming only two
`conductive layers 50, 52 for ease of understanding,it is to be
`appreciated that many more conductive layers (selectively
`electrically isolated with the dielectric material 40) may be
`formed, and suchstructures are intended to fall within the
`scope of the hereto appended claims.
`The vias 32 are formed employing photolithographic
`techniques utilizing short wavelength radiation and ultra-
`thin photoresists. Accordingly, substantially smaller dimen-
`sions of the vias 32 are achieved as compared to vias formed
`in accordance with the prior art technique discussed with
`respect
`to FIG. 1. For example,
`the vias 32 may have
`respectively a critical feature dimension of less than about
`0.25 um, and such small dimension is not typically obtain-
`able using conventional lithographic processes. In another
`embodiment, the vias may have respectively a critical fea-
`ture dimension of less than about 0.18 um.
`FIGS. 3a—3e illustrate representative filled via structures
`which may be formed in accordance with the present inven-
`tion. FIG. 3a depicts a via structure 32, which is substan-
`tially cylindrical and has a substantially circular cross-
`section 34,. A diameter “d,” of the cross-section 34a in one
`particular embodimentis less than about 0.25 um. In another
`embodiment, the d, is less than about 0.18 um.
`FIG. 35 depicts a via structure 32, which is substantially
`cylindrical and has a substantially elliptical cross-section
`34,. A length dimension for a major axis “d,” of the
`cross-section 34, in one particular embodimentis less than
`about 0.25 um. In another embodiment, the d, is less than
`about 0.18 um.
`FIG. 3c depicts a via structure 32, which is substantially
`cylindrical and has a substantially square cross-section 34,.
`A diagonal length dimension “d.” of the cross-section 34, in
`one particular embodimentis less than about 0.25 wm. In
`another embodiment, the d, is less than about 0.18 um.
`
`10
`
`15
`
`20
`
`25
`
`30
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`6
`FIG. 3d depicts a via structure 32, which is substantially
`cylindrical and has a substantially rectangular cross-section
`34,. A diagonal length dimension “d,” of the cross-section
`34d in one particular embodimentis less than about 0.25 um.
`In another embodiment, the d, is less than about 0.18 um.
`FIG. 3e depicts a via structure 32, which is substantially
`cylindrical and has a substantially irregular shaped cross-
`section 34,. A largest transverse dimesion “d,” of the cross-
`section 34, in one particular embodimentis less than about
`0.25 wm. In another embodiment, the d, is less than about
`0.18 um.
`The various aforementioned dimensions (d,, d,, d., dy,
`and d,) will be referred to as the largest
`transverse
`dimensions, which are respectively the maximum length
`dimension of a transverse cross-section of the via 32 with
`
`respect to a y-axis as shown in FIGS. 3a-3e.
`Turning now to FIGS. 421, the fabrication of the vias 32
`is discussed in greater detail. FIG. 4 is a cross-sectional
`illustration of a first metal layer 60, which is part of the
`conductive layer 50 and a graded anti-reflective coating
`(ARC) 62 formed thereon. Although not shown,it is to be
`appreciated that the first metal layer 60 may be formed over
`a substrate, for example. The first metal
`layer 60 may
`comprise any suitable conductive material employable for
`forming conductive patterns in the semiconductor industry.
`Preferably,
`the conductive material
`includes a member
`selected from the group consisting of refractory materials,
`such as titanium and titanium alloys, tungsten and tungsten
`alloys, aluminum and aluminum alloys, copper and copper
`alloys and polycrystalline silicon. The ARC 62 is left over
`from a previous patterning of the first metal layer 60 (e.g.,
`to pattern metal lines). The ARC 62 preferably comprises
`titanium nitride (TiN), however, any like material may be
`employed. The ARC 62 serves as an etch stop layer for a
`dielectric etch step discussed in greater detail below. The
`ARC 62 is conductive and thus if remaining after the
`dielectric etch, the ARC 62 will not inhibit an electrically
`conductive connection between the first metal layer 60 and
`the via 32 which mayserve as an electrically conductive link
`to another metal layer or element(e.g., conductive line).
`Furthermore, the ARC 62 serves as an etch stop region
`during the dielectric etch to provide for a margin of error in
`the dielectric etch so as to mitigate damageto the first metal
`layer 60 by the dielectric etch. The thickness of the ARC
`layer 62 is preferably within the range of 300 A-1500 A,
`however, any thickness suitable for carrying out the afore-
`mentioned functions of the ARC 62 may be employed.
`FIG. 5 illustrates a dielectric layer 66 formed over the
`ARClayer 62. The dielectric layer 66 is part of the dielectric
`40. The dielectric layer provides for insulating conductive
`elements (e.g., adjacent metal lines) from each other so as to
`mitigate electrical shorting and/or capacitive crosstalk there
`between. Preferably, the dielectric layer 66 includestetra-
`ethyorthosilicate (TEOS) However, and suitable insulating
`material (e.g., phosphosilicate glass (PSG), borophospho-
`silicate glass (BPSG), any suitable spin-on glass (SOG), or
`polyimides having a suitably low dielectric constant) may be
`employed. The dielectric layer 66 may be deposited by any
`suitable process (e.g., Low Pressure Chemical Vapor Depo-
`sition (LPCVD), Plasma Enhanced Chemical Vapor Depo-
`sition (PECVD), or High Density Plasma ChemicalVapor
`Deposition (HDPCVD)) to a desired thickness.
`Next, as shownin FIG. 6, a nitride layer 70 is formed over
`the dielectric layer 66. The nitride layer 70 will serve as a
`hard mask during etching of the underlying dielectric layer
`66. Any suitable technique for forming the nitride layer 70
`
`

`

`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 15 of 19
`Case 6:20-cv-01216-ADA Document 41-7 Filed 10/06/21 Page 15 of 19
`
`6,127,070
`
`7
`may be employed such as LPCVD, PECVD, HDCVD,
`sputtering, or high density plasma chemical vapor deposi-
`tion (HDPCVD)
`techniques to a thickness suitable for
`serving as a hard mask for a selective etch of the dielectric
`layer 66. Thus, for example, in one aspect of the present
`invention the thickness of the nitride layer 70 is between the
`range of about 50 A-10,000 A.
`In another aspect,
`the
`thickness of the nitride layer 70 is between the range of
`about 50 A-5000 A.In another aspect, the thickness of the
`nitride layer 70 is between the range of about 50 A-3000 A.
`In another aspect, the thickness of thenitride layer 70 is
`between the range of about 50 A-2000 A.In another aspect,
`the thickness of the nitride layer 70 is between the range of
`about 50 A-1500 A. In another aspect, the thickness of the
`nitride layer 70 is between the range of about 50 A-1000 A.
`In still another aspect, the thickness of the nitride layer 70
`is between the range of about 50 A-500 A.
`FIG. 7 illustrates an ultra-thin photoresist layer 80 formed
`over the nitride layer 70. The ultra-thin photoresist layer 80
`has a thickness of about 500 A-5000 A, however,it is to be
`appreciated that the thickness thereof may be of any dimen-
`sion suitable for carrying out
`the present
`invention.
`Accordingly, the thickness of the ultra-thin photoresist 80
`can vary in correspondencewith the wavelength of radiation
`used to pattern the ultra-thin photoresist 80. One aspect of
`the present invention provides for forming the ultra-thin
`photoresist layer 80 to have a thickness within the range of
`1000 A to 4000 A. Another aspect of the present invention
`provides for forming the ultra-thin photoresist layer 80 to
`have a thickness within the range of 2000 A to 3000 A. Yet
`another aspect of the present invention provides for forming
`the ultra-thin photoresist layer 80 to have a thickness within
`the range of 500 Ato 2000 A. The ultra-thin photoresist 80
`may be formed over the nitride layer 70 via conventional
`spin-coating or spin casting deposition techniques.
`The ultra-thin photoresist layer 80 has a thickness suitable
`for functioning as a mask for etching the underlying nitride
`layer 70 and for forming patterns or openings in the devel-
`oped ultra-thin photoresist layer 80 that are 0.25 um orless.
`Since the ultra-thin photoresist layer 80 is relatively thin
`compared with I-line,
`regular deep UV, and other
`photoresists, improvedcritical dimension controlis realized.
`Ultra-thin resists are processed using small wavelength
`radiation. Small wavelength radiation increases precision
`and thus the ability to improve critical dimension control.
`Specific examples of wavelengths to which the ultra-thin
`photoresist 80 is sensitive (undergo chemical transformation
`enabling subsequent development) include about 248 nm,
`about 193 nm, about 157 nm, about 13 nm and about 11 nm,
`and as low as 4 nm. Specific sourcesof radiation include KrF
`excimer lasers having a wavelength of about 248 nm, a
`XeHg vapor lamp having a wavelength from about 200 nm
`to about 250 nm, mercury-xenon arc lamps having a wave-
`length of about 248 nm, an ArF excimer laser having a
`wavelength of about 193 nm, an F, excimer laser having a
`wavelength of about 157 nm, and EUV having a wavelength
`of about 15 nm to about 10 nm, and as low as 4 nm.
`Positive or negative ultra-thin photoresists may be
`employed in the methods of the present
`invention. An
`example of a deep UV chemically amplified photoresist is a
`partially t-butoxycarbonyloxy substituted poly-p-
`hydroxystyrene. Photoresists are commercially available
`from a number of sources,
`including Shipley Company,
`Kodak, Hoechst Celanese Corporation, Brewer and IBM.
`The scope of the present invention as defined by the hereto
`appended claims is intended to include any ultra-thin pho-
`toresist suitable for carrying out the present invention.
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`8
`Referring to FIG. 8, the ultra-thin photoresist layer 80
`then undergoes an exposure/developmentstep 90 to provide
`a patterned photoresist 100 (FIG. 9). The patterned photo-
`resist 100 is formed using electromagnetic radiation having
`a relatively small wavelength (for example, less than 200
`nom). In this embodiment, electromagnetic radiation having
`a wavelength of about 13 nm is employed. Since relatively
`small wavelengths are used, reflectivity concerns are mini-
`mized because larger wavelengths are more frequently asso-
`ciated with reflectivity problems. The ultra-thin photoresist
`layer 80 is selectively exposed to radiation; that is, selected
`portions of the ultra-thin photoresist layer 80 are exposed to
`radiation. Either the exposed or unexposed portions of the
`ultra-thin photoresist layer 80 are removed or developed to
`provide the patterned photoresist 100.
`Thecritical feature dimension “

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket