throbber
Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 1 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 1 of 19
`
`EXHIBIT 8
`EXHIBIT 8
`
`
`
`
`
`

`

`case 620-ev-01216-ADA DocumerMMBIMITHTRANEMERTAGHAAE
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 2 of 19
`US006140023A
`6,140,023
`(114) Patent Number:
`United States Patent 55
`Levinson et al.
`[45] Date of Patent:
`Oct. 31, 2000
`
`
`6/1990 Thomaset al. oo. 257/742
`4,933,743
`[54] METHOD FOR TRANSFERRING PATTERNS
`CREATED BY LITHOGRAPHY 5,091,339—2/1992 Carey ccecseccsccsecsecreecseseeeeenes 216/18
`
`5,318,877
`6/1994 Oberet al. wu.
`... 430/270
`
`2338als
`yt008 runsvoldetal srtereteneneeenaeeenaesBons
`3399,
`achdev et al.
`.....
`we
`5,759,748
`ssecssssssssssssensreeen 430/323
`6/1998 Chum et al.
`
`Inventors: Harry J. Levinson, Saratoga; Scott A.
`.
`*
`Bell,San enBNewyen FLyons,
`
`[75]
`
`Mateo; Fei Wang; Chih Yuh Yang,
`both of San Jose, all of Calif.
`
`[73] Assignee: Advanced Micro Devices, Inc.,
`Sunnyvale, Calif.
`
`Primary Examiner—S. Rosasco
`Attorney, Agent, or Firm—Amin, Eschweiler & Turocy,
`LLP
`
`[57]
`
`ABSTRACT
`
`A lithographic process for fabricating sub-micron features is
`[21] Appl. No.: 09/203,447
`provided. A silicon containing ultra-thin photoresist
`is
`14.
`
`[22]|Filed: Dec. 1, 1998 formed on an underlayer surface to be etched. The ultra-thin
`
`[SL]
`Tint, C0 i ceecccccccsssseecsessseessssseeeessneees G03F 9/00
`photoresist layer is patterned with short wavelength radia-
`[52] US. Ch. eeccccccsteessceseees 430/313; 216/41; 216/51
`tion to define a pattern. The ultra-thin photoresist is oxidized
`[58] Field of Search oo...eceeeeees 430/313, 317,
`so as to convert the silicon therein to silicon dioxide. The
`430/318, 322, 323, 325, 966, 967; 216/41,
`oxidized ultra-thin photoresist layer is used as a hard mask
`51
`during an etch step to transfer the pattern to the underlayer.
`The etch step includes an etch chemistry that
`is highly
`selective to the underlayer over the oxidized ultra-thin
`photoresist layer.
`
`References Cited
`U.S. PATENT DOCUMENTS
`
`[56]
`
`4,808,511
`
`2/1989 Holmes ou... ceceeeeeeeeeeeeenee 430/325
`
`30 Claims, 11 Drawing Sheets
`
`Peed bedded ede tebetteaee
`we 1%
`
`130
`
`a“
`
`Pitti
`
`
`OPOOOOOOOOOOOO POE
`
`
`
`66
`
`62
`
`
`
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 3 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 3 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 1 of 11
`
`6,140,023
`
` KKKKI
`
`>/#iBWW8
`
`KERRKKKKKKRSKRKRMRKKKRKKRAKNM
`=Y,|xos==7 fr
`
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 4 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 4 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 2 of 11
`
`6,140,023
`
`
`62
`PRBSSSK)
`osSP a a a ay i IN I NN I IN IFT EF 4
`
`66
`
`62
`
`AsPOOSOOOOG
`
`66
`
`70
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 5 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 5 of 19
`
`U.S. Patent
`
`6,140,023
`
`Oct. 31, 2000
`
`Sheet 3 of 11
`
`SOOSRy?OSXSIRO SOS OO?
`
`——SS
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 6 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 6 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 4 of 11
`
`6,140,023
`
`
`
`Fig. 8
`
`110
`
`Leb bbb bbb db dd bbb dd ddd dd yee
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 7 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 7 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 5 of 11
`
`6,140,023
`
`
`reyvdt etree eer errytree
`
`we 29
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 8 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 8 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 6 of 11
`
`6,140,023
`
`120
`
`EE
`
`Fig. 12
`
`LULU Ebb b bbb bbb ddd gg em
`ae
`a 179
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 9 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 9 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 7 of 11
`
`
`
`6,140,023
`
`Fig. 15
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 10 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 10 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 8 of 11
`
`6,140,023
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 11 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 11 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 9 of 11
`
`6,140,023
`
`bbb bbb bbb bby.286
`LLL:
`
`:
`
`a 246
`
`ae<<<>]\a
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 12 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 12 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 10 of 11
`
`6,140,023
`
`Ped dd b bd bb db db ddd bd ddd d gan ™
`d
`“—
`
`246
`
` EM
`N
`
`Ee Ce
`
`Fig. 21
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 13 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 13 of 19
`
`U.S. Patent
`
`Oct. 31, 2000
`
`Sheet 11 of 11
`
`6,140,023
`
`be dbbddbbdbddbdbdddbbdadyan™
`
`a 246
`
`
`
`
` TG
`N
`
`i
`
`Fig. 22
`
`SN
`
`ERERREBRR
`
`DP PSSOe Re IOI OI IST
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 14 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 14 of 19
`
`6,140,023
`
`1
`METHOD FOR TRANSFERRING PATTERNS
`CREATED BY LITHOGRAPHY
`
`TECHNICAL FIELD
`
`invention generally relates to photo-
`The present
`lithography, and moreparticularly relates to a method of
`forming sub-micron devices and/or features via short wave-
`length radiation and ultra-thin photoresists.
`BACKGROUND OF THE INVENTION
`
`In the semiconductor industry, there is a continuing trend
`toward higher device densities. To achieve these high den-
`sities there has been and continues to be efforts toward
`
`scaling down the device dimensions on semiconductor
`wafers. In order to accomplish such high device packing
`density, smaller and smaller features sizes are required. This
`may include the width and spacing of interconnecting lines
`and the surface geometry such as corners and edges of
`various features.
`
`The requirement of small features with close spacing
`between adjacent features requires high resolution photo-
`lithographic processes.
`In general,
`lithography refers to
`processes for pattern transfer between various media. It is a
`technique used for integrated circuit fabrication in which a
`siliconslice, the wafer, 1s coated uniformly with a radiation-
`sensitive film, the resist, and an exposing source (such as
`optical
`light, x-rays, or an electron beam)
`illuminates
`selected areas of the surface through an intervening master
`template, the photomask,for a particular pattern. The litho-
`graphic coating is generally a radiation-sensitized coating
`suitable for receiving a projected image of the subject
`pattern. Once the image is projected,it is indelibly formed
`in the coating. The projected image maybeeither a negative
`or a positive of the subject pattern. Exposure of the coating
`through the photomask causes the image area to become
`either more or less soluble (depending on the coating) in a
`particular solvent developer. The more soluble areas are
`removed in the developing process to leave the pattern
`image in the coating as less soluble polymer.
`Projection lithography is a powerful and essential tool for
`microelectronics processing. As feature sizes are driven
`smaller and smaller, optical systems are approaching their
`limits caused by the wavelengths of the optical radiation. A
`recognized way of reducing the feature size of circuit
`elements is to lithographically image the features with
`radiation of a shorter wavelength. “Long” or “soft” x-rays
`(a.k.a, extreme ultraviolet (EUV)), wavelength range of
`lambda=50 to 700 Angstroms(A)are nowatthe forefront of
`research in an effort to achieve the smaller desired feature
`sizes.
`
`Although EUV lithography provides substantial advan-
`tages with respect to achieving high resolution patterning,
`the shorter wavelength radiation is highly absorbed by the
`photoresist material. Consequently, the penetration depth of
`the radiation into the photoresist is limited. The limited
`penetration depth of the shorter wavelength radiation
`requires the use of ultra-thin photoresists so that the radia-
`tion can penetrate the entire depth of the photoresist in order
`to effect patterning thereof. However, the thinness of such
`ultra-thin photoresists results in the etch resistance thereof to
`be relatively low.
`In other words,
`the etch protection
`afforded by ultra-thin photoresists is limited which in turn
`limits the EUV lithographic process.
`SUMMARYOF THE INVENTION
`
`The present invention relates to a method to facilitate
`lithographic processes employing extreme ultra-violet
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`2
`(EUV) radiation and/or deep UV radiation in fabricating
`sub-micron devices and/or features. As noted above, EUV
`and deep UV radiation are preferred radiation sources in
`lithographic processes wherefine resolution is desired. The
`short wavelengths of these types of radiation afford for fine
`patterning (e.g., <0.25 wm). However, these types of radia-
`tion are highly absorbed by photoresist material which
`consequently limits the depth of penetration by the radiation
`into the photoresist material.
`The present invention employs an ultra-thin photoresist
`material containing silicon. The ultra-thin photoresist
`is
`patterned with short wavelength radiation. Thereafter, the
`patterned photoresist is exposed to an oxygen plasma which
`transformsthe silicon in the photoresist to silicon dioxide
`thus transforming the photoresist into a hard mask compris-
`ing silicon dioxide. The silicon dioxide hard mask of the
`present invention affords for expanding available etch chem-
`istries useable in EUV and/or deep UV lithographic pro-
`cesses. In particular, these types of lithographic processes
`require the use of very thin photoresists as a result of the
`depth of penetration limitations of the short wavelength
`radiation. Such very thin photoresists are limited in their
`capacity as etch barriers due to the thickness thereof.
`is
`In the present
`invention,
`the ultra-thin photoresist
`employed in patterning devices and/or features of very fine
`resolution and after transformation of the photoresist to a
`silicon dioxide hard mask, the hard mask is employed in a
`subsequent underlayer etch process. The silicon dioxide
`hard mask hassubstantially better etch resistance character-
`istics than the original silicon containing ultra-thin photo-
`resist. The present invention expands available etch chem-
`istries associated with lithography utilizing ultra-thin
`photoresists and short wavelength radiation. Thus,
`the
`present invention affords for taking advantage of the fine
`resolution patterning available from EUV and deep UV
`lithographic processes and mitigates the limitations associ-
`ated therewith with respect to etch chemistry.
`In accordance with one aspect of the present invention, a
`lithographic process for fabricating sub-micron features is
`provided. A silicon containing ultra-thin photoresist
`is
`formed on an underlayer surface to be etched. The ultra-thin
`photoresist layer is patterned with short wavelength radia-
`tion to define a pattern. The ultra-thin photoresist is oxidized
`so as to convert the silicon therein to silicon dioxide. The
`
`oxidized ultra-thin photoresist layer is used as a hard mask
`during an etch step to transfer the pattern to the underlayer.
`The etch step includes an etch chemistry that
`is highly
`selective to the underlayer over the oxidized ultra-thin
`photoresist layer.
`invention relates to a
`Another aspect of the present
`lithographic process. An intermediate layer is formed on an
`underlayer surface. An ultra-thin photoresist is formed on
`the intermediate layer,
`the ultra-thin photoresist includes
`silicon. The ultra-thin photoresist layer is patterned with
`short wavelength radiation to define a pattern. The ultra-thin
`photoresist is oxidized so as to convert the silicon therein to
`silicon dioxide. The oxidized ultra-thin photoresist layer is
`used as a hard mask during an etch step to transfer the
`pattern to the intermediate layer and the underlayer. The etch
`step includes an etch chemistry that
`is selective to the
`intermediate layer and the underlayer over the oxidized
`ultra-thin photoresist layer.
`Still another aspect of the present invention relates to a
`lithographic process for fabricating conductive lines. A
`barrier layer is formed over a substrate. A conductive layer
`is formed over the barrier layer. An intermediate layer is
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 15 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 15 of 19
`
`6,140,023
`
`3
`formed over the conductive layer. An ultra-thin photoresist
`is formed over the intermediate layer, the ultra-thin photo-
`resist including at least 5% silicon, the ultra-thin photoresist
`layer having a thickness within the range of 50 Ato 2000 A.
`The ultra-thin photoresist
`layer is patterned with short
`wavelength radiation to define a pattern of conductive lines,
`the short wavelength radiation having a wavelength within
`the range of 4 nm to 200 nm. The ultra-thin photoresist is
`oxidized with an O, plasma so as to convert the silicon
`therein to silicon dioxide. The oxidized ultra-thin photoresist
`layer is used as a hard mask during an etch step to transfer
`the pattern to the intermediate layer and the conductive
`layer. The etch step includes an etch chemistrythat is highly
`selective to the intermediate layer and the conductive layer
`over the oxidized ultra-thin photoresist layer. The oxidized
`ultra-thin photoresist layer and the intermediate layer are
`then removed.
`
`To the accomplishmentof the foregoing and related ends,
`the invention, then, comprises the features hereinafter fully
`described and particularly pointed out in the claims. The
`following description and the annexed drawingsset forth in
`detail certain illustrative embodiments of the invention.
`These embodimentsare indicative, however, of but a few of
`the various ways in which the principles of the invention
`may be employed. Other objects, advantages and novel
`features of the invention will become apparent from the
`following detailed description of the invention when con-
`sidered in conjunction with the drawings.
`
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`FIG. 1 is a prior art schematic cross-sectional illustration
`of a conventional patterned resist used in lithographic pro-
`cesses;
`
`FIG. 2 is a perspective illustration of conductive lines
`formed in accordance with one aspect of the present inven-
`tion;
`FIG. 3 is a schematic cross-sectional illustration of a
`silicon substrate having a barrier oxide layer formed thereon
`in accordance with one aspect of the present invention;
`FIG. 4 is a schematic cross-sectional illustration of a
`
`conductive layer formed overthe barrier oxide layer of FIG.
`3 in accordance with one aspect of the present invention;
`FIG. 5 is a schematic cross-sectional illustration of an
`organic intermediate layer formed over the conductive layer
`of FIG. 4 in accordance with one aspect of the present
`invention;
`FIG. 6 is a schematic cross-sectional illustration of an
`
`ultra-thin photoresist layer formed over the organic inter-
`mediate layer of FIG. 5 in accordance with one aspect ofthe
`present invention;
`FIG. 7 is a schematic cross-sectional illustration of the
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`ultra-thin photoresist layer of FIG. 6 undergoing a patterning
`step in accordance with one aspect of the present invention;
`FIG. 8 is a schematic cross-sectional illustration of the
`
`55
`
`ultra-thin photoresist layer of FIG. 7 after the patterning step
`is substantially complete in accordance with one aspect of
`the present invention;
`FIG. 9 is a schematic cross-sectional illustration of the
`
`ultra-thin photoresist layer of FIG. 8 undergoing an oxidiz-
`ing step in accordance with one aspect of the present
`invention;
`FIG. 10 is a schematic cross-sectional illustration of the
`
`ultra-thin photoresist layer of FIG. 9 after the oxidizing step
`is substantially complete to form a hard mask in accordance
`with one aspect of the present invention;
`
`60
`
`65
`
`4
`FIG. 11 is a schematic cross-sectional illustration of the
`intermediate layer, and conductive layer of FIG. 10 under-
`going an etching step in accordance with one aspect of the
`present invention;
`FIG. 12 is a schematic cross-sectional illustration of the
`
`intermediate layer and conductive layer of FIG. 11 after the
`etching step is substantially complete in accordance with
`one aspect of the present invention;
`FIG. 13 is a schematic cross-sectional illustration of the
`hard mask and organic intermediate layer undergoing a
`removal step in accordance with one aspect of the present
`invention;
`FIG. 14 is a schematic cross-sectional illustration of the
`
`conductive lines substantially complete in accordance with
`one aspect of the present invention;
`FIG. 15 is a perspectiveillustration of the conductive lines
`of FIG. 14 in accordance with one aspect of the present
`invention;
`FIG. 16 illustrates an ultra-thin photoresist layer formed
`over an underlayer in accordance with one aspect of the
`present invention;
`FIG. 17 illustrates the ultra-thin photoresist layer under-
`going a patterning process in accordance with one aspect of
`the present invention;
`FIG. 18 illustrates the ultra-thin photoresist layer under-
`going an oxidizing step in accordance with one aspectof the
`present invention;
`FIG. 19 illustrates an oxidized ultra-thin photoresist layer
`which will serve as a hard mask during etching of the
`underlayer in accordance with one aspect of the present
`invention;
`FIG. 20 illustrates the underlayer undergoing an etching
`step wherein the hard maskis used to shield maskedportions
`of the underlayer from being etched in accordance with one
`aspect of the present invention;
`FIG. 21 illustrates the etched underlayer in accordance
`with one aspect of the present invention;
`FIG. 22 illustrates the hard mask being removed via a
`stripping step in accordance with one aspect of the present
`invention; and
`FIG. 23 illustrates the underlayer after the etching step
`and stripping step are substantially complete in accordance
`with one aspect of the present invention.
`DETAILED DESCRIPTION OF THE
`INVENTION
`
`The present invention will now be described with refer-
`ence to the drawings, wherein like reference numerals are
`used to refer to like elements throughout. The method of the
`present invention will be described with reference to the
`formation of conductive lines via a photolithographic pro-
`cess employing radiation of short wavelength (e.g., EUV
`radiation and/or deep UVradiation) and an ultra-thin pho-
`toresist. Although the present invention is described prima-
`rily within the context of fabricating conductive lines, it is
`to be appreciated that the present invention may be applied
`in the fabrication of a wide variety of devices and/or features
`at the sub-micron level. All such applications of the present
`invention are intendedto fall within the scope of the hereto
`appended claims. The following detailed description is of
`the best modes presently contemplated by the inventors for
`practicing the invention. It should be understood that the
`description of these preferred embodiments are merely illus-
`trative and that they should not be taken in a limiting sense.
`FIG. 1 is a cross-sectional illustration of a conventional
`
`photoresist layer 20 being used in the formation of conduc-
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 16 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 16 of 19
`
`6,140,023
`
`5
`tive lines. As shown,the photoresist layer 20 is substantially
`thick (e.g., 5,000-10,000 A). The photoresist layer 20 is
`patterned so as to define conductive lines which will be
`etched from the underlying metal layer 26. However, the
`thickness of the photoresist 20 is not conducive for use with
`short wavelength radiation because these types of radiation
`would be highly absorbed by the photoresistlayer 20 and not
`penetrate the entire thickness “t” of the layer 20. As a result,
`such a conventional scheme for forming a device or feature
`(e.g., conductive lines) would not be able to take advantage
`of the improvedresolution of patterning offered by the short
`wavelength radiation.
`Turning now to the present invention in detail, FIG. 2
`illustrates conductive lines 30 formed in accordance with the
`
`6
`such as novolac, polyimide based resins and the like. More
`particularly, polyimide commercially available from Ciba-
`Geigy sold underthe trade name XU284 and Probimide 285
`sold by E. I. DuPont De Nemours Company underthe trade
`name PI2610 may be employed. The intermediate layer 70
`may also function as an etch stop layer to protect
`the
`underlying layer 66.
`The intermediate layer 70 may be deposited by any
`suitable process (e.g., spin-on coating, Low Pressure Chemi-
`cal Vapor Deposition (LPCVD), Plasma Enhanced Chemical
`Vapor Deposition (PECVD), or High Density Plasma
`Chemical Vapor Deposition (HDPCVD))to a desired thick-
`ness.
`
`10
`
`present invention. The conductive lines 30 are formed over
`a substrate 60. A barrier layer 62 is interposed between the
`conductive lines 30 and the substrate layer 60. The ever
`increasing demand for miniaturization in the integrated
`circuits industry has led to an ever constant demand for
`reduction in separation between conductivelines (e.g., metal
`lines)
`in order to reduce integrated circuit size and/or
`increase density. The conductive lines 30 are formed via
`photolithographic techniques utilizing short wavelength
`radiation and ultra-thin photoresists. Accordingly, substan-
`tially smaller dimensions of the conductive lines 30 and
`separation thereof are achieved as compared to conductive
`lines formed in accordance with the prior art
`technique
`discussed with respect to FIG. 1. For example, the conduc-
`tive lines 30 may be separated by a distance “d” less than
`about 0.25 wm, and such small dimension is not obtainable
`using conventional
`lithographic processes.
`In another
`embodiment, the conductive lines 30 may have a separation
`distance “d’” less than about 0.18 ym.
`Turning now to FIGS. 3-15, the fabrication of the con-
`ductive lines 30 is discussed in greater detail. It is to be
`appreciated that the thicknesses of the various layers in the
`figures are not necessarily drawn to scale so as to facilitate
`review of the specification and understanding of the present
`invention. FIG. 3 is a cross-sectional
`illustration of the
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`FIG. 6 illustrates an ultra-thin photoresist layer 80 formed
`over the intermediate layer 70. The ultra-thin photoresist
`layer 80 has a thickness of about 500 A-5000 A, however,
`it is to be appreciated that the thickness thereof may be of
`any dimension suitable for carrying out the present inven-
`tion. Accordingly, the thickness of the ultra-thin photoresist
`80 can vary in correspondence with the wavelength of
`radiation used to pattern the ultra-thin photoresist 80. One
`aspect of the present invention provides for forming the
`ultra-thin photoresist layer 80 to have a thickness within the
`range of 1000 A to 4000 A. Another aspect of the present
`invention provides for forming the ultra-thin photoresist
`layer 80 to have a thickness within the range of 2000 Ato
`3000 A. Yet another aspect of the present invention provides
`for forming the ultra-thin photoresist layer 80 to have a
`thickness within the range of 500 A to 2000 A. Theultra-thin
`photoresist 80 may be formed over the intermediate layer 70
`via conventional spin-coating or spin casting deposition
`techniques, for example.
`The ultra-thin photoresist layer 80 has a thickness suitable
`for functioning as a mask for etching the underlying inter-
`mediate layer 70 and layer 66 and for forming patterns or
`openings in the developed ultra-thin photoresist layer 80 that
`are 0.25 ym orless. Since the ultra-thin photoresist layer 80
`is relatively thin compared with I-line, regular deep UV, and
`other photoresists, improved critical dimension control is
`substrate 60 and the barrier layer 62 formed thereon. FIG. 4
`realized. It will be appreciated that for certain applications
`is a cross-sectionalillustration of a layer 66 formed over the
`the ultra-thin photoresist layer 80 may be used solely as a
`barrier layer 62—the conductive lines 30 will be etched
`mask for etching the underlying intermediate layer 70, and
`from the layer 66. The layer 66 may comprise any suitable
`the intermediate layer 70 will serve as a mask for etching the
`conductive material employable for forming conductive
`layer 66.
`patterns in the semiconductor industry. Preferably, the con-
`Ultra-thin resists are processed using short wavelength
`ductive material includes a memberselected from the group
`radiation. Short wavelength radiation increases precision
`consisting of refractory materials, such as titanium and
`and thus the ability to improvecritical dimension control.
`titanium alloys, tungsten and tungsten alloys, aluminum and
`Specific examples of wavelengths to which the ultra-thin
`aluminum alloys, copper and copper alloys. The layer 66
`photoresist 80 is sensitive (undergo chemical transformation
`may be deposited by any of a variety of suitable deposition
`enabling subsequent development) include about 248 nm,
`techniques, such as CVD processes including low pressure
`about 193 nm, about 157 nm, about 13 nm, about 11 nm, and
`chemical vapor deposition (LPCVD) and plasma enhanced
`as low as 4 nm. Specific sources of radiation include KrF
`chemical vapor deposition (PECVD), melting or sputtering.
`excimer lasers having a wavelength of about 248 nm, a
`Although the present invention is being described within the
`XeHg vapor lamp having a wavelength from about 200 nm
`context of forming conductive lines, it is to be appreciated
`to about 250 nm, mercury-xenon arc lamps having a wave-
`that the present invention may be applied to forming many
`length of about 248 nm, an ArF excimer laser having a
`different types of patterns in a material. Accordingly, the
`wavelength of about 193 nm, an F, excimer laser having a
`layer 66 may comprise other types of material(e.g., silicon
`wavelength of about 157 nm, and EUV having a wavelength
`nitride, titanium,titanium nitride) from whichapatternis to
`60
`be formed.
`of about 15 nm to about 10 nm,and as low as 4 nm. It will
`be appreciated that the radiation being employed may have
`a wavelength of, for example, less than about 200 nm,less
`than about 160 nm,less than about 100 nm,less than about
`13 nm, or less than about 11 nm.
`Positive or negative ultra-thin photoresists may be
`employed in the methods of the present invention. Photo-
`resists containing silicon are commercially available from a
`
`45
`
`50
`
`55
`
`65
`
`FIG. 5 illustrates an intermediate layer 70 deposited over
`the layer 66. Because the layer 66 typically does not have a
`planar surface (in part due to the uneven surface of the
`underlying substrate 60), the intermediate layer 70 is depos-
`ited with a thickness sufficient
`to present an essentially
`planar surface. The composition of the intermediate layer is
`not critical, and may be any suitable intermediate material
`
`

`

`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 17 of 19
`Case 6:20-cv-01216-ADA Document 41-8 Filed 10/06/21 Page 17 of 19
`
`6,140,023
`
`7
`number of sources, including Shipley Company, Hoechst
`Celanese Corporation, and Brewer. The scope of the present
`invention as defined by the hereto appended claims is
`intended to include any ultra-thin photoresist suitable for
`carrying out the present invention. It is to be appreciated that
`the ultra-thin photoresist 80 of the present invention includes
`silicon which will be transformed into silicon dioxide so as
`
`10
`
`15
`
`20
`
`8
`exposing the patterned photoresist to an oxygen (O,) plasma
`which will oxidize the patterned photoresist so as to form a
`hard mask containing silicon dioxide (SiO). Alternatively,
`provided the photoresist 100 is substantially thin, a thermal
`oxidation technique may be employed. For example, accord-
`ing to one specific aspect of the invention an entire wafer
`including the aforementioned layers formed thereon may be
`placed in a quartz tube in a vertical or horizontal type heat
`to transform the ultra-thin photoresist into a hard mask
`treatment furnace. An oxidizing source such as oxygen and
`comprising silicon dioxide.
`water vapor is fed into the quartz tube, and the wafer is
`In one embodimentofthe present invention, the ultra-thin
`heated up (ie., annealed) to approximately 900° C.
`to
`photoresist 80 includes at least 5% silicon by weight. In
`oxidize the patterned ultra-thin photoresist 100. Depending
`another embodiment of the present invention, the ultra-thin
`on the thermal budget for a particular device, the anneal may
`photoresist 80 includes at
`least 10% silicon by weight.
`be either a furnace anneal, a rapid thermal anneal (RTA) or
`Another embodiment of the present invention hasthe ultra-
`any other suitable anneal. As a result of the anneal, the
`thin photoresist 80 including at least 20% silicon by weight.
`silicon containing ultra-thin photoresist 100 is transformed
`In yet another embodiment of the present invention,
`the
`into a hard mask containing silicon dioxide.
`ultra-thin photoresist 80 includes at least 30% silicon by
`It is to be appreciated that any suitable oxidation tech-
`weight. Still yet another embodimentof the present inven-
`niques for oxidizing the silicon in the ultra-thin photoresist
`tion has the ultra-thin photoresist 80 including at least 40%
`100 in accordance with the present
`invention may be
`silicon by weight.
`employed and is intended to fall within the scope of the
`Referring to FIG. 7, the ultra-thin photoresist layer 80
`present invention.
`then undergoes an exposure/developmentstep 90 to provide
`FIG. 10 illustrates an oxidized ultra-thin photoresist layer
`a patterned photoresist 100 (FIG. 8). The patterned photo-
`120 after completion of the oxidizing step 110. The silicon
`resist 100 is formed using electromagnetic radiation having
`25
`in the ultra-thin photoresist layer 100 has been transformed
`a relatively small wavelength (for example, less than 200
`to silicon dioxide via the oxidation process 110. Asaresult,
`nom). In this embodiment, electromagnetic radiation having
`the patterned ultra-thin photoresist layer 100 has become
`a wavelength of about 13 nm is employed. Since relatively
`hardened in that the oxidized ultra-thin layer 120 comprises
`small wavelengths are used, reflectivity concerns are mini-
`silicon dioxide, which imparts substantially greater etch
`mized because larger wavelengths are more frequently asso-
`resistance characteristics to the oxidized ultra-thin layer 120
`ciated with reflectivity problems. The ultra-thin photoresist
`as compared to the unoxidized photoresist layer 100. The
`layer 80 is selectively exposed to radiation; that is, selected
`oxidized ultra-thin layer 120 will serve as a hard mask
`portions of the ultra-thin photoresist layer 80 are exposed to
`during a subsequent intermediate layer/layer 66 etch.
`radiation. Either the exposed or unexposed portions of the
`Referring now to FIG. 11, the oxidized photoresist layer
`ultra-thin photoresist layer 80 are removed or developed to
`120 is used as a mask for selectively etching the intermediate
`provide the patterned photoresist 100.
`layer 70 and the layer 66 to pattern the layer 66 from an etch
`Thecritical feature dimension “d” of the exposed portion
`step 130. Any suitable etch technique may be used to etch
`of the intermediate layer 70 (opening 102 in the patterned
`the intermediate layer 70 and the layer 66. Preferably, the
`photoresist 100 as shownin FIG. 8) is about 0.25 ym orless,
`intermediate layer 70 and the layer 66 are etched using an
`including about 0.18 umor less, about 0.09 um orless, about
`anisotropic etching process—dry or wet etching techniques
`0.075 xm orless and about 0.05 um or less, depending on the
`may be employed, although dry etching is preferred. An
`wavelength of the radiation used.
`intermediate layer/layer 66: photoresist etch technique may
`The selectively exposed ultra-thin photoresist layer 80 is
`be used to etch the intermediate layer 70 and the layer 66 to
`developed by contact with a suitable developer that removes
`provide the patterned conductive lines 30. Preferably, a
`either the exposed or unexposed portions of the ultra-thin
`selective etch technique may be used to etch the material of
`photoresist layer 80. The identity of the developer depends
`the intermediate layer 70 and the layer 66 at a relatively
`upon the specific chemical constitution of the ultra-thin
`greater rate as compared to the rate that the material of the
`photoresist
`layer 80. For example, an aqueous alkaline
`oxidized photoresist 120 is etched. For example, the etching
`solution may be employed to remove unexposedportions of
`process 130 mayinclude a reactive ion etch (RIE), that

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket