throbber
Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 1 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 1 of 18
`
`EXHIBIT 10
`EXHIBIT 10
`
`
`
`
`
`

`

`case 6:20-ev-01216-ADA DocumentMHIFMAMHEN AEEUTTA
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 2 of 18
`US006162587A
`
`United States Patent 55
`[11] Patent Number:
`6,162,587
`Yang etal.
`[45] Date of Patent:
`Dec. 19, 2000
`
`
`Inventors: Chih Yuh Yang, San Jose; Christopher
`F. Lyons, Fremont; Harry J. Levinson,
`.
`.
`Saratoga; Khanh B. Nguyen, San
`Mateo; Fei Wang; Scott A. Bell, both=Primary Examiner—Mark F. Hutt
`of San Jose,all of Calif.
`Assistant Examiner—Saleha R. Mohamedulla
`Attorney, Agent, or Firm—Amin, Eschweiler & Turocy,
`LLP
`[57]
`
`[54] THIN RESIST WITH TRANSITION METAL
`HARD MASKFORVIA ETCH APPLICATION
`
`[75]
`
`[73] Assignee: Advanced Micro Devices, Sunnyvale,
`Calif.
`
`Dec. 1, 1998
`
`[21] Appl. No.: 09/203,450
`.
`Filed:
`[22]
`Go3C 5/00
`Int. C1.”
`[51]
`[52] US. Checence430/314:430/316: 430/317:
`ee ,
`” 430318
`:
`[58] Field of SNeSe3ad883aoa,1ear
`;
`;
`;
`;
`;
`39
`References Cited
`U.S. PATENT DOCUMENTS
`
`[56]
`
`7/1998 Jang et al. ccs cee 438/424
`5,786,262
`5,817,567 10/1998 Jang et al. oe eesesesseccneeeees 438/427
`11/1999 Chapman.....
`« 430/316
`5,976,769
`
`11/1999 Wuetal. ..
`-- 438/700
`5,985,766
`11/1999 Felter voce ceseseeteeeeee 430/270.1
`5,989,776
`
`ABSTRACT
`
`A method of forming a via structure is provided. In the
`method, a dielectric layer is formed on an anti-reflective
`coating (ARC) layer covering a first metal layer; and a
`transition metal layer is formed on the dielectric layer. An
`ultra-thin photoresist layer is formed on the transition metal
`layer, and the ultra-thin photoresist layer is patterned with
`short wavelength radiation to define a pattern for a via. The
`patterned ultra-thin photoresist
`layer is used as a mask
`during a first etch step to transfer the via pattern to the
`transition metal layer. The first etch step includes an etch
`chemistry that is selective to the transition metal layer over
`the ultra-thin photoresist layer and the dielectric layer. The
`transition metal layer is employed as a hard mask during a
`second etch step to form a contact hole corresponding to the
`via pattern by etching portions of the dielectric layer.
`
`4,299,911
`5,040,020
`5,534,312
`5,757,077
`
`11/1981 Ochi et al. eee 430/286
`
`8/1991 Rauschenbach et al.
`oe. 355/53
`
`7/1996 Hill et abe eee
`eeeeceeeeeeee 427/533
`5/1998 Chunget al.eee 257/736
`
`SN
`
`14 Claims, 9 Drawing Sheets
`
`190
`
`66
`
`62
`
`60
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 3 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 3 of 18
`
`U.S. Patent
`
`Sheet 1 of 9
`
`6,162,587
`
`Dec. 19, 2000
`=.CKKKEC\
`BOOKCXOOOOON,\
`
`WyJOldL"biz
`
`
`
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 4 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 4 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 2 of 9
`
`6,162,587
`
`vy
`
`34
`
`:
`
`34
`
`si”, a,
`
`2, _2,
`
`Fig. 3a
`
`Ay “oe
`3
`
`d.
`
`a—32,
`
`Fig. 3c
`
`
`a—32, Fig. 3e
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 5 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 5 of 18
`
`U.S. Patent
`
`Sheet 3 of 9
`
`Dec. 19, 2000
`
`6,162,587
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 6 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 6 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 4 of 9
`
`6,162,587
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Fig. 7
`
`LELb bd dh bbb bb db bd bb dd dd an™
`
`
`80
`
`
`
`TAas
`oe
`SSEOR RIOR ROO
`
`Fig. 9
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 7 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 7 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 5 of 9
`
`6,162,587
`
`ceees
`
` QBaSS==
`
`
`
`
`
`TTTTLLLLLLLLLLE LLLOotwt~—~~””””:Eee
`
`PEEeeePCA
`
`PEEXX
`
`LLKS
`
`S87
`Oe
`
`
`Fig. 11
`
`
`HeHheH date h arb at ere es —
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 8 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 8 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 6 of 9
`
`6,162,587
`
`ye
`5005xXIOK
`
`Fig
`
`. 13
`
`bbb bb bbb bbbddddddddd ggg aau™
`
`Ax
`
`és
`es
`xX
`
`
`a
`
`
`,
`i?os
`ra
`<x
`SOK
`Xx
`SOOO
`POOOOOQOOS
`
`LTT Ti}
`
`N\—
`
`
`
`
`
`
`
`SoS?Xx
`KO
`
`Fig. 14
`
`oOwo=
`
`Fig. 15
`
`
`
`
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 9 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 9 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 7 of 9
`
`6,162,587
`
`Vee de deat deed datedde
`
`170
`
` __{|ee[{_—————}esed|ee{eesas(aedaea|{eS|_aS|a|aa|ee)CdOe
`
`;
`SIIIOIHIIINIHHHINI
`
` N
`
`ReLHa
`i.
`
`
`Fig. 17
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 10 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 10 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 8 of 9
`
`6,162,587
`
`190
`
`Fig. 19
`
`PELSSSEESESEE ESSERE EEE EE Om N
`
`
`
`lL
`
`hhhadab
`HROOOOSS OKO
`
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 11 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 11 of 18
`
`U.S. Patent
`
`Dec. 19, 2000
`
`Sheet 9 of 9
`
`6,162,587
`
`OV
`
`
`
`0€
`
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 12 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 12 of 18
`
`6,162,587
`
`1
`THIN RESIST WITH TRANSITION METAL
`HARD MASKFOR VIA ETCH APPLICATION
`
`TECHNICAL FIELD
`
`invention generally relates to photo-
`The present
`lithography, and moreparticularly relates to a method of
`forming sub-micron vias using short wavelength radiation
`and ultra-thin photoresists.
`BACKGROUND OF THE INVENTION
`
`2
`(EUV) radiation and/or deep UV radiation in fabricating
`vias. As noted above, EUV and deep UV radiation are
`preferred radiation sources in lithographic processes where
`fine resolution is desired. The short wavelengths of these
`types of radiation afford for fine patterning (e.g., critical
`feature sizes <0.25 um). However, these types of radiation
`are highly absorbed by photoresist material which conse-
`quently limits the depth of penetration by the radiation into
`the photoresist material.
`By employing a transition metal layer to be patterned as
`a hard mask for use in connection with etching the vias, the
`In the semiconductor industry, there is a continuing trend
`present invention affords for expanding available etch chem-
`toward higher device densities. To achieve these high
`istries useable in EUV and/or deep UV lithographic pro-
`densities, there has been and continues to be efforts toward
`cesses. In particular, these types of lithographic processes
`scaling down the device dimensions (e.g., at submicron
`require the use of very thin photoresists as a result of the
`levels) on semiconductor wafers. In order to accomplish
`depth of penetration limitations of the short wavelength
`such high device packing density, smaller and smaller fea-
`radiation. Such very thin photoresists are limited in their
`ture sizes are required. This may include the width and
`capacity as etch barriers due to the thickness thereof.
`spacing of interconnecting lines, spacing and diameter of
`is
`In the present
`invention,
`the ultra-thin photoresist
`contact holes (vias), and the surface geometry such as
`employed in patterning and etching (e.g., with a high selec-
`corners and edges of various features.
`tivity fluorocarbon plasma) the transition metal layer there-
`The requirement of small features with close spacing
`under to form a hard mask. A via pattern formed in the
`between adjacent features requires high resolution photo-
`photoresist with the short wavelength radiation is transferred
`lithographic processes.
`In general,
`lithography refers to
`to the transition metal layer byafirst etch step. The patterned
`processes for pattern transfer between various media. It is a
`transition metal layer is used as a hard maskfor a subsequent
`second etch step to etch a dielectric layer so as to form
`technique used for integrated circuit fabrication in which a
`contact holes therein corresponding to the via pattern.
`siliconslice, the wafer, 1s coated uniformly with a radiation-
`Thereafter, standard via formation processes are performed
`sensitive film, the photoresist, and an exposing source (such
`to fill the contact holes, planarize the filler material, etc. to
`as optical light, x-rays, or an electron beam) illuminates
`form the via having a cross-section with a largest transverse
`selected areas of the surface through an intervening master
`dimension less than 0.25 wm. Thus, the present invention
`template, the mask, for a particular pattern. The photoresist
`affords for taking advantageofthe fine resolution patterning
`receives a projected image of the subject pattern. Once the
`available from EUV and deep UV lithographic processes
`imageis projected, it is indelibly formed in the photoresist.
`and mitigates the limitations associated therewith with
`The projected image may beeither a negative or a positive
`respect to etch chemistry.
`image of the subject pattern. Exposure of the photoresist
`One specific aspect of the present invention relates to a
`through a photomask causes the image area to becomeeither
`method of forminga via structure. In the method,a dielectric
`more or less soluble (depending on the coating) in a par-
`layer is formed on an anti-reflective coating (ARC) covering
`ticular solvent developer. The more soluble areas are
`a first metal layer. A transition metal layer is formed on the
`removed in the developing process to leave the pattern
`dielectric layer. An ultra-thin photoresist layer is formed on
`image in the photoresist as less soluble polymer.
`the transition metal layer, and the ultra-thin photoresist layer
`Projection lithography is a powerful and essential tool for
`is patterned with short wavelength radiation to define a
`microelectronics processing. As feature sizes are driven
`pattern for the via structure. The patterned ultra-thin pho-
`smaller and smaller, optical systems are approaching their
`toresist layer is used as a mask duringafirst etch step to
`limits caused by the wavelengths of the optical radiation. A
`transfer the via pattern to the transition metal layer. The first
`recognized way of reducing the feature size of circuit
`etch step includes an etch chemistry that is selective to the
`elements is to lithographically image the features with
`transition metal layer over the ultra-thin photoresist layer.
`radiation of a shorter wavelength. “Long” or “soft” x-rays
`Thetransition metal layer is employed as a hard mask during
`(a.k.a, extreme ultraviolet (EUV)), wavelength range of
`a second etch step to form a contact hole corresponding to
`lambda=50 to 700 Angstroms(A)are nowatthe forefront of
`the via pattern by etching portions of the dielectric layer.
`research in an effort to achieve the smaller desired feature
`sizes.
`Another aspect of the present invention relates to a via
`structure having a largest transverse dimension below about
`Although EUV lithography provides substantial advan-
`0.18 um. In forming the structure, In the method,a dielectric
`tages with respect to achieving high resolution patterning,
`layer is formed on an anti-reflective coating coveringafirst
`the shorter wavelength radiation is highly absorbed by the
`metal
`layer. A transition metal
`layer is formed on the
`photoresist material. Consequently, the penetration depth of
`dielectric layer. An ultra-thin photoresist layer is formed on
`the radiation into the photoresist is limited. The limited
`the transition metal layer. The ultra-thin photoresist layer is
`penetration depth of the shorter wavelength radiation
`patterned with short wavelength radiation to define a pattern
`requires the use of ultra-thin photoresists so that the radia-
`for the via structure. The ultra-thin photoresist layer is used
`tion can penetrate the entire depth of the photoresist in order
`as a mask duringafirst etch step to transfer the via pattern
`to effect patterning thereof. However, the thinness of such
`60
`to the transition metal layer, the first etch step including an
`ultra-thin photoresists results in the etch resistance thereof to
`etch chemistry that is selective to the transition metal layer
`be relatively low.
`In other words,
`the etch protection
`over the ultra-thin photoresist layer. The transition metal
`afforded by ultra-thin photoresists is limited which in turn
`layer is used as a hard mask during a second etch step to
`limits the EUV lithographic process.
`form a contact hole corresponding to the via pattern by
`SUMMARYOF THE INVENTION
`etching portions of the dielectric layer.
`Another aspect of the present
`invention relates to a
`method of forminga via structure. In the method,a dielectric
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`65
`
`The present invention relates to a method to facilitate
`lithographic processes employing extreme ultra-violet
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 13 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 13 of 18
`
`6,162,587
`
`10
`
`15
`
`20
`
`25
`
`30
`
`3
`4
`FIG. 5 is a schematic cross-sectional illustration of a
`layer is formed on an anti-reflective coating coveringafirst
`metal
`layer. A transition metal
`layer is formed on the
`dielectric layer formed over the ARC layer of FIG. 4 in
`dielectric layer, the transition metal layer having a thickness
`accordance with one aspect of the present invention;
`FIG. 6 is a schematic cross-sectional illustration of a
`within the range of 50 A-2000 A. An ultra-thin photoresist
`layer is formed on the transition metal layer, the ultra-thin
`transition metal layer formed over the dielectric layer of
`photoresist layer having a thickness within the range of 50
`FIG. 5 in accordance with one aspect of the present inven-
`A-—2000 A. Theultra-thin photoresist layer is patterned with
`tion;
`FIG. 7 is a schematic cross-sectional illustration of an
`short wavelength radiation to define a pattern for the via
`structure, the short wavelength radiation falling within the
`ultra-thin photoresist layer formed over the transition metal
`range of about 11 nm to 13 nm. The ultra-thin photoresist
`layer of FIG. 6 in accordance with one aspect of the present
`layer is used as a mask duringa first etch step to transfer the
`invention;
`FIG. 8 is a schematic cross-sectional illustration of the
`via pattern to the transition metal layer, the first etch step
`including an etch chemistrythat is selective to the transition
`ultra-thin photoresist layer of FIG. 7 undergoing a patterning
`metal layer over the ultra-thin photoresist layer and the
`step in accordance with one aspect of the present invention;
`dielectric layer. The transition metal layer is used as a hard
`FIG. 9 is a schematic cross-sectional illustration of the
`mask during a second etch step to form a contact hole
`ultra-thin photoresist layer of FIG. 8 after the patterning step
`corresponding to the via pattern by etching portions of the
`is substantially complete in accordance with one aspect of
`dielectric layer.
`the present invention;
`Yet another aspect of the present invention relates to a
`FIG. 10 is a schematic cross-sectional illustration of the
`method of forming a multi-layered interconnect structure. In
`transition metal layer of FIG. 9 undergoing an etching step
`the method, a first dielectric layer is formed on an anti-
`in accordance with one aspect of the present invention;
`reflective coating covering a first metal layer. A transition
`FIG. 11 is a schematic cross-sectional illustration of the
`metal layer is formed on the dielectric layer, the transition
`transition metal layer of FIG. 10 after the etching step is
`metal
`layer having a thickness within the range of 50
`substantially complete in accordance with one aspect of the
`A-2000 A. An ultra-thin photoresist layer is formed on the
`present invention;
`transition metal layer, the ultra-thin photoresist layer having
`FIG. 12 is a schematic cross-sectional illustration of the
`a thickness within the range of 50 A-2000 A.Theultra-thin
`transition metal layer and dielectric layer of FIG. 11 under-
`photoresist layer is patterned with short wavelength radia-
`going an etching step in accordance with one aspect of the
`tion to define a pattern for a via,
`the short wavelength
`present invention;
`radiation falling within the range of about 11 nm to 13 nm.
`FIG. 13 is a schematic cross-sectional illustration of the
`The ultra-thin photoresist layer is used as a mask during a
`transition metal layer and dielectric layer of FIG. 12 after the
`first etch step to transfer the via pattern to the transition
`etching step is substantially complete to form a contact hole
`metal layer. The first etch step includes an etch chemistry
`in accordance with one aspect of the present invention;
`that
`is selective to the transition metal
`layer over the
`FIG. 14 is a schematic cross-sectional illustration of the
`ultra-thin photoresist layer. The transition metal layer is
`contact hole of FIG. 13 undergoingafilling (plugging) step
`35
`with a conductive material to form a via in accordance with
`employed as a hard mask during a second etch step to form
`a contact hole corresponding to the via pattern by etching
`one aspect of the present invention;
`FIG. 15 is a schematic cross-sectional illustration of a via
`portionsof the dielectric layer. The contacthole is filled with
`a conductive material so as to form the via. The hard mask
`after the filling step of FIG. 14 is substantially complete in
`is removed and the conductive material planarized via CMP.
`accordance with one aspect of the present invention;
`FIG. 16 is a schematic cross-sectional illustration of the
`A second metal layer is formed over the via, and a second
`dielectric layer is formed over the second metal layer.
`conductive material of FIG. 15 undergoing a planarization
`To the accomplishmentof the foregoing and related ends,
`process in accordance with one aspect of the present inven-
`tion;
`the invention, then, comprises the features hereinafter fully
`FIG. 17 is a schematic cross-sectional illustration of the
`described and particularly pointed out in the claims. The
`following description and the annexed drawingsset forth in
`via substantially complete in accordance with one aspect of
`detail certain illustrative embodiments of the invention.
`the present invention;
`FIG. 18 is a schematic cross-sectional illustration of a
`These embodimentsare indicative, however, of but a few of
`second metal layer being formed over the via structure in
`the various ways in which the principles of the invention
`accordance with one aspect of the present invention;
`may be employed. Other objects, advantages and novel
`FIG. 19 is a schematic cross-sectional illustration of the
`features of the invention will become apparent from the
`following detailed description of the invention when con-
`second metal layer formed in substantial part in accordance
`sidered in conjunction with the drawings.
`with one aspect of the present invention;
`illustration of
`FIG. 20 is a schematic cross-sectional
`BRIEF DESCRIPTION OF THE DRAWINGS
`second dielectric layer being formed over the second metal
`layer in accordance with one aspect of the present invention;
`FIG. 21 is a schematic cross-sectional illustration of the
`second dielectric layer formed in substantial part so as to
`form a multi-layered interconnect structure in accordance
`with one aspect of the present invention; and
`FIG. 22 is a perspective illustration of the multi-layered
`interconnect structure of FIG. 21 in accordance with one
`aspect of the present invention.
`DETAILED DESCRIPTION OF THE
`INVENTION
`
`40
`
`45
`
`50
`
`60
`
`65
`
`FIG. 1 is a prior art schematic cross-sectional illustration
`of a conventional patterned resist used in the formation of
`vias;
`FIG. 2 is a perspective illustration of a multi-layered
`interconnectstructure employing vias formed in accordance
`with one aspect of the present invention;
`FIGS. 3a—3e illustrate representative filled via structures
`which may be formed in accordance with the present inven-
`tion
`FIG. 4 is a schematic cross-sectional illustration of a
`metal layer having an anti-reflective coating (ARC) formed
`thereon in accordance with one aspect of the present inven-
`tion;
`
`The present invention will now be described with refer-
`ence to the drawings, wherein like reference numerals are
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 14 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 14 of 18
`
`6,162,587
`
`5
`usedto refer to like elements throughout. The method of the
`present invention will be described with reference to the
`formation of vias using a photolithographic process employ-
`ing radiation of short wavelength (e.g., EUV radiation
`and/or deep UVradiation) and an ultra-thin photoresist. The
`following detailed description is of the best modes presently
`contemplated by the inventors for practicing the invention.
`It should be understood that the description of these pre-
`ferred embodiments are merely illustrative and that they
`should not be taken in a limiting sense.
`FIG. 1 is a cross-sectional illustration of a conventional
`photoresist layer 20 being used in the formationof via(s). As
`shown, the photoresist layer 20 is substantially thick (e.g.,
`5,000-10,000 A). The photoresist layer 20 is shown pat-
`terned so as to define a via which will be etched into an
`
`underlying dielectric layer 22 so as to form a contact hole to
`an underlying anti-reflective coating layer 24 and a metal
`layer 26 However, the thickness of the photoresist layer 20
`is not conducive for use with short wavelength radiation
`because these types of radiation would be highly absorbed
`by the photoresist layer 20 and not penetrate the entire
`thickness “t” of the layer 20. As a result, such a conventional
`scheme for forming a via would not be able to take advan-
`tage of the improved resolution of patterning offered by the
`short wavelength radiation.
`Turning now to the present invention in detail, FIG. 2
`illustrates an interconnect structure 30 having vias 32
`formed in accordance with the present invention. The vias
`32 are filled with a suitable material (e.g., tungsten, copper)
`to form plugs which provide conductive pathways through
`an insulating dielectric medium 40 to connect interconnects
`of different conductor layers 50, 52. Although, the present
`invention is described with respect to forming only two
`conductive layers 50, 52 for ease of understanding,it is to be
`appreciated that many more conductive layers (selectively
`electrically isolated with the dielectric material 40) may be
`formed, and suchstructures are intended to fall within the
`scope of the hereto appended claims.
`The vias 32 are formed employing photolithographic
`techniques utilizing short wavelength radiation and ultra-
`thin photoresists. Accordingly, substantially smaller dimen-
`sions of the vias 32 are achieved as compared to vias formed
`in accordance with the prior art technique discussed with
`respect
`to FIG. 1. For example,
`the vias 32 may have
`respectively a critical feature dimension of less than about
`0.25 um, and such small dimension is not typically obtain-
`able using conventional lithographic processes. In another
`embodiment, the vias may have respectively a critical fea-
`ture dimension of less than about 0.18 um.
`FIGS. 3a—3e illustrate representative filled via structures
`which may be formed in accordance with the present inven-
`tion. FIG. 3a depicts a via structure 32, which is substan-
`tially cylindrical and has a substantially circular cross-
`section 34,. A diameter “d,” of the cross-section 34a in one
`particular embodimentis less than about 0.25 um. In another
`embodiment, the d, is less than about 0.18 um.
`FIG. 35 depicts a via structure 32, which is substantially
`cylindrical and has a substantially elliptical cross-section
`34,. A length dimension for a major axis “d,” of the
`cross-section 34, in one particular embodimentis less than
`about 0.25 um. In another embodiment, the d, is less than
`about 0.18 um.
`FIG. 3¢ depicts a via structure 32c which is substantially
`cylindrical and has a substantially square cross-section 34,.
`A diagonal length dimension “d.” of the cross-section 34, in
`one particular embodimentis less than about 0.25 wm. In
`another embodiment, the d, is less than about 0.18 um.
`
`10
`
`15
`
`20
`
`25
`
`30
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`6
`FIG. 3d depicts a via structure 32d which is substantially
`cylindrical and has a substantially rectangular cross-section
`34,. A diagonal length dimension “d,” of the cross-section
`34d in one particular embodimentis less than about 0.25 um.
`In another embodiment, the d, is less than about 0.18 um.
`FIG. 3e depicts a via structure 32, which is substantially
`cylindrical and has a substantially irregular shaped cross-
`section 34,. A largest
`transverse dimension “d,” of the
`cross-section 34, in one particular embodimentis less than
`about 0.25 um. In another embodiment, the d, is less than
`about 0.18 um.
`The various aforementioned dimensions (d,, d,, d., dy,
`and d,) will be referred to as the largest
`transverse
`dimensions, which are respectively the maximum length
`dimension of a transverse cross-section of the via 32 with
`
`respect to a y-axis as shown in FIGS. 3a-3e.
`Turning now to FIGS. 421, the fabrication of the vias 32
`is discussed in greater detail. FIG. 4 is a cross-sectional
`illustration of a first metal layer 60, which is part of the
`conductive layer 50 and a graded anti-reflective coating
`(ARC) 62 formed thereon. Although not shown,it is to be
`appreciated that the first metal layer 60 may be formed over
`a substrate, for example. The first metal
`layer 60 may
`comprise any suitable conductive material employable for
`forming conductive patterns in the semiconductor industry.
`Preferably,
`the conductive material
`includes a member
`selected from the group consisting of refractory materials,
`such as titanium and titanium alloys, tungsten and tungsten
`alloys, aluminum and aluminum alloys, copper and copper
`alloys and polycrystalline silicon. The ARC 62 is left over
`from a previous patterning of the first metal layer 60 (e.g.,
`to pattern metal lines). The ARC 62 preferably comprises
`titanium nitride (TiN), however, any like material may be
`employed. The ARC 62 serves as an etch stop layer for a
`dielectric etch step discussed in greater detail below. The
`ARC 62 is conductive and thus if remaining after the
`dielectric etch, the ARC 62 will not inhibit an electrically
`conductive connection between the first metal layer 60 and
`the via 32 which mayserve as an electrically conductive link
`to another metal layer or element(e.g., conductive line).
`Furthermore, the ARC 62 serves as an etch stop region
`during the dielectric etch to provide for a margin of error in
`the dielectric etch so as to mitigate damageto the first metal
`layer 60 by the dielectric etch. The thickness of the ARC
`layer 62 is preferably within the range of 300 A-1500 A,
`however, any thickness suitable for carrying out the afore-
`mentioned functions of the ARC 62 may be employed.
`FIG. 5 illustrates a dielectric layer 66 formed over the
`ARClayer 62. The dielectric layer 66 is part of the dielectric
`40. The dielectric layer provides for insulating conductive
`elements (e.g., adjacent metal lines) from each other so as to
`mitigate electrical shorting and/or capacitive crosstalk there
`between. Preferably, the dielectric layer 66 includestetra-
`ethyorthosilicate (TEOS). However, and suitable insulating
`material (e.g., phosphosilicate glass (PSG), borophospho-
`silicate glass (BPSG), any suitable spin-on glass (SOG), or
`polyimides having a suitably low dielectric constant) may be
`employed. The dielectric layer 66 may be deposited by any
`suitable process (e.g., Low Pressure Chemical Vapor Depo-
`sition (LPCVD), Plasma Enhanced Chemical Vapor Depo-
`sition (PECVD), or High Density Plasma Chemical Vapor
`Deposition (HDPCVD)) to a desired thickness.
`Next, as shown in FIG. 6, a transition metal layer 70 is
`formed over the dielectric layer 66. The transition metal
`layer 70 will serve as a hard mask during etching of the
`underlying dielectric layer 66. The transition metal layer 70
`
`

`

`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 15 of 18
`Case 6:20-cv-01216-ADA Document 41-10 Filed 10/06/21 Page 15 of 18
`
`6,162,587
`
`8
`Kodak, Hoechst Celanese Corporation, Brewer and IBM.
`The scope of the present invention as defined by the hereto
`appended claims is intended to include any ultra-thin pho-
`toresist suitable for carrying out the present invention.
`Referring to FIG. 8, the ultra-thin photoresist layer 80
`then undergoes an exposure/developmentstep 90 to provide
`a patterned photoresist 100 (FIG. 9). The patterned photo-
`resist 100 is formed using electromagnetic radiation having
`a relatively small wavelength (for example, less than 200
`nom). In this embodiment, electromagnetic radiation having
`a wavelength of about 13 nm is employed. Since relatively
`small wavelengths are used, reflectivity concerns are mini-
`mized because larger wavelengths are more frequently asso-
`ciated with reflectivity problems. The ultra-thin photoresist
`layer 80 is selectively exposed to radiation; that is, selected
`portions of the ultra-thin photoresist layer 80 are exposed to
`radiation. Either the exposed or unexposed portions of the
`ultra-thin photoresist layer 80 are removed or developed to
`provide the patterned photoresist 100.
`Thecritical feature dimension “d” of the exposed portion
`of the transition metal layer 70 (opening 102 in the patterned
`photoresist 100) is about 0.25 um orless, including about
`0.18 um orless, about 0.09 um or less, about 0.075 um or
`less and about 0.05 zum or less, depending on the wavelength
`of the radiation used.
`
`10
`
`15
`
`20
`
`25
`
`30
`
`7
`may comprise any one or more of Ti, Ta, W, TiN, TaN, and
`WN,for example. Any suitable technique for forming the
`transition metal layer 70 may be employed such as LPCVD,
`PECVD, HDCVD, sputtering or high density plasma chemi-
`cal vapor deposition (HDPCVD)techniques to a thickness
`suitable for serving as a hard maskfor a selective etch of the
`dielectric layer 66. Thus, for example, in one aspect of the
`present invention the thickness of the transition metal layer
`70 is between the range of about 50 A-10,000 A.In another
`aspect,
`the thickness of the transition metal
`layer 70 is
`between the range of about 50 A-5000 A.In another aspect,
`the thickness ofthe transition metal layer 70 is between the
`range of about 50 A-3000 A.In another aspect, the thickness
`of the transition metal layer 70 is betweenthe range of about
`50 A-2000 A.
`In another aspect,
`the thickness of the
`transition metal layer 70 is between the range of about 50
`A-1500 A. In another aspect, the thickness of thetransition
`metal layer 70 is between the range of about 50 A-1000 A.
`In still another aspect, the thickness of the transition metal
`layer 70 is between the range of about 50 A-500 A.
`FIG. 7 illustrates an ultra-thin photoresist layer 80 formed
`over the transition metal layer 70. The ultra-thinphotoresist
`layer 80 has a thickness of about 500 A-5000 A, however,
`it is to be appreciated that the thickness thereof may be of
`any dimension suitable for carrying out the present inven-
`The selectively exposed ultra-thin photoresist layer 80 is
`tion. Accordingly, the thickness of the ultra-thin photoresist
`developed by contact with a suitable developer that removes
`80 can vary in correspondence with the wavelength of
`either the exposed or unexposed portions of the ultra-thin
`radiation used to pattern the ultra-thin photoresist 80. One
`photoresist layer 80. The identity of the developer depends
`aspect of the present invention provides for forming the
`ultra-thin photoresist layer 80 to have a thickness within the
`upon the specific chemical constitution of the ultra-thin
`photoresist
`layer 80. For example, an aqueous alkaline
`range of 1000 A to 4000 A. Another aspect of the present
`invention provides for forming the ultra-thin photoresist
`solution may be employed to remove unexposed portions of
`the ultra-thin photoresist layer 80. Alternatively, one or more
`layer 80 to have a thickness within the range of 2000 A to
`of dilute aqueousacid solutions, hydroxide solutions, water,
`3000 A. Yet another aspect of the present invention provides
`for forming the ultra-thin photoresist layer 80 to have a
`and organic solvent solutions may be employed to remove
`selected portions of the ultra-thin photoresist layer 80. The
`thickness within the range of 500 A to 2000 A. Theultra-thin
`developer is selected so that it does not degrade or etch the
`photoresist 80 may be formed overthe transition metal layer
`material of the transition metal layer 70, or at least degrades
`70 via conventional spin-coating or spin casting deposition
`or etches the material of the transition metal layer 70 at a
`techniques.
`subst

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket