throbber
PRODUCTIVffY & YIELD
`
`Factory-wide run-to-run process control
`
`Mark Yelverton*, Advanced Micro Devices, Austin, Texas
`Koatae Taakalls, Arizona State University, Tempe, Arizona
`Kevin stoddanl*, SEMY Engineering Inc., Phoenix, Arizona
`
`Over the last several yeara. run-to-run process con(cid:173)
`trol has only been applied to select processes.
`Now, through advances in process-eugineering(cid:173)
`friendly software tools, It can be used acroesa
`wafer fab to maintain process repeatablllty auto(cid:173)
`matically and compeilRte for upstream process
`varlabllity, achieve better device yields and speeds,
`and greatly enhance factory productivity.
`
`A common methodology for monitoring batch
`
`Automatic....- control
`Most problems aswciated with manual control of sernicon•
`ductor processes can be eliminated with automatic process
`
`• Additional authors are listed in the Acknowledgme11ts.
`
`processes uses x-bar/s or x-bar/r plot~ from sta(cid:173)
`tistical process control (SPC) software. Normally
`distribured process data is monitored using a set of rules
`(i.e., "Western Electric") to determine if a process is in
`control. Manual investigation and adjustment of the
`process are necessary when a data point is out of con(cid:173)
`trol. A large percentage of these adju.mnents are made
`to compensate for run-to-run variations attributed to
`process equipment drift.
`Unfortunately, there are many problems with manu•
`ally adju.qted processes based on SPC charts. A typical
`wafer fab has ~2500 on-line SPC charts. If all Western
`Electric rules are used and if two new points are added The screen shows a faull•deteclian chart from run-to-run process control software.
`The software automatically maintains rnpeatablll!y for better device yields and factory
`to each chart/ day, there could be an average 82 false
`alanru,/day [lj. Only processes with the most signifi-
`productivity. (Comput!lr illustration courtesy of SEMY Engineering)
`cant excursions tend to be maintained due to the sheer magni-
`control. All areas in a wafer fab can show significant processcon(cid:173)
`tude of faults. In some cases, the opposite is true and too much
`trol improvement after implementing even simple automated
`attention is given to a chart and overadjustment occurs, result-
`feedback process controllers [2, 3].
`feed(cid:173)
`ing in processes "ringing." Additional process variation can be
`There are two types of run-to-run process control -
`back and feed-forwaid. A foedbackcontrulsy:;tem makes adjust(cid:173)
`introduced between shifts or individuals as they try to com-
`men ts to recipe or process-tool parameters to maintain the
`pensatc for t?ach other's process adjustments (Fig. 1).
`desired end-of-run or in situ metrology results. Compensa(cid:173)
`tion for incoming post-process variations from previous steps
`is achieved using feed-forward process control; an open-loop
`relationship or model between process steps adjusts the process
`target in the current step.
`
`Copyright © 1999. All rights reserved.
`www.solid-stale.com • ~ 19ff • Soiid State Techno;ogy ff
`
`cootfnued on page 49
`
`PDF Solutions v Ocean Semiconductor, IPR2022-01196
`PDF Exhibit 1007, Page 1 of 4
`
`

`

`Run-fo.nm ,,..,.,_CMtnJloon!lnu6dfrom pege45
`Benefits from automatic run-to-run process control are mnner(cid:173)
`ous. Greater precision and aa:urac:y are po551"ble with smaller,
`more frequent adjustments. Control algorithms lhatignon! "flier"
`data points can be tuned for maximum and repeatable perfor(cid:173)
`mance. Variables that once were considered too complex can be
`controlled. Human error can also be eliminated via a consis(cid:173)
`tent adjustment methodology.
`In contrast, manual adjustments are inherent approximations
`basedonsimplerelationships,can.bebiasedbypastexperience,
`orcanbeinfluencedbye.rrors
`in reading or entering data.
`Once automatic control is
`implemented,
`personnel
`requirements to maintain a
`process are reduced, freeing
`engineers and operators to
`work on other issues.
`Various obstacles must be
`overcome for successful imple(cid:173)
`mentation of a run-to-run con(cid:173)
`trol system. The automated
`acquisition of metrology results
`can, at times, be very difficult
`and must be extremely flexible.
`Some metrology tools do not
`provide proper connectivity to
`a factury MES system. Even in
`cases where metrology results
`are integrated into a centralized SPC database, custom interfaces
`are often required to communicate metrology data to the run(cid:173)
`to-run control system. Moreover, metrology results must be
`
`,..... s. SPC chart showing evidence of manual overadjustment of a CVO
`process deposition time.
`
`acquired in a timely manner to be useful, especially in a feed.
`forward application.
`It is also nece!iSllry to implement proper fault detection and
`classification logic to deal with faulty metrology measurements
`caused by drifting metrology tools, operator error, or bad warers.
`Proper classification of metrology data is essential to ensure that
`correct measurements are provided to the run-to-run controller.
`Further integration with the process tool (i.e., recipe manage(cid:173)
`ment) is also required to provide the process adjustment
`mechanism for tools that do
`not directly support adjust•
`ing process parameters. This
`should include boundaries of
`adjustment and means to han(cid:173)
`dle a control system failure.
`
`Run-to-run
`proc . . . control
`The implementation of a run(cid:173)
`to-nm processcontrollercan be
`achieved in.several ways. Direct
`implementation on a process
`tool allows for wafer-to-wafer
`process adjustments, if neces,(cid:173)
`sary, and ease of execution.
`It does not allow feed.forward
`control across different pro-
`cesses, however, and requires
`costly dedicated or on-board metrology measurement.
`Factory-wide implementation of nm-to-run process control,
`such as SEMATECH's Advanced Process Control (APC)
`
`Copyright © 1999. All rights reserved.
`
`PDF Solutions v Ocean Semiconductor, IPR2022-01196
`PDF Exhibit 1007, Page 2 of 4
`
`

`

`Framework, is gaining acceptance in a few companies. Such a
`framework, which provides a communicati0Il8 bus and stan(cid:173)
`dards for building control and visuallmtion modules, is extremely
`flexible, providing feedback and feed-forward functionality and
`the ability to exchange information between many suppliers.
`While the development and implementation of APC Framework
`modules are left entirely up to the user, the sheer magnitude and
`expense of such development may limit actual use in factories
`with a large diversity in products [4J.
`Run-to-run process control can also be implemented with off(cid:173)
`the-shelf hardware and software, such as the Equipment Super(cid:173)
`visor Workstation (ESW) developed bySEMY Engineering. This
`supervisory solution provides integration to virtually any process
`or rnetrology tool, and with SEMY's Advanced Run-to-Run
`Control (ARRC) module provides both feed-forward or feed(cid:173)
`back run-to-run process control (see sidebar "Advanced run(cid:173)
`to-run control (ARRC} tools" on p. 46). Connectivity between
`FSW andAPC Framework is designed around a Common Object
`Request Broker Architecture {CORBA). This solution is applic(cid:173)
`able to a single process tool, making it attractive for tool man(cid:173)
`ufacturers or an entire process area [5]. An example of the lat(cid:173)
`ter is the implementation of this supervisory system at White
`Oak Semiconductor [6].
`
`Pl-Geese modeHIIIC and control
`A typical methodology for implementing nm-to-run processcon-
`1rol uses a "black box" approach, where process-modeling and
`control-systems experts develop complex schemes to control the
`ptua'5Saron"atel.y [6]. This approach is very powerful and can usu(cid:173)
`allyprovide the bestresults; however, itcan also be time-consuming
`to develop and implement, especially if a suitable control infra(cid:173)
`oiructure is not in place. This infrastructure is provided by ARRC
`via "plug--in" algorithms using MATLAB- a high-performance
`numeric computation and visualization software package.
`
`The "black box" approach does possess several drawbacks.
`Once developed, it is difficult or, in some cases, impossible to be
`modified or adjusted by production~. Also, current sys(cid:173)
`tems d.o not provide graphical user interfaces that allow easy
`gauging of the perfoill'.anceand accuracy of adjustments. ARRC,
`however, has a revolutionary set of tools that allows process
`engineers with little orno experience to develop their own mod(cid:173)
`els and t.'Ontrol systems easily and analyze the performance of
`the control.
`
`Feedback control exampl-
`Simple feed.back controllers can significantly improve process
`performance and productivity in every area of the production
`environment [7-9]. This method is useful for improving process
`control and automating routineadjustmems made by operators,
`engineers,and maintenance personnel. The examples presented
`here are only a small part of what is achievable in a factory-wide
`implementation.
`For example, in CMP operations, the polish time may be
`adjusted to control !he remaining thickness of the film, and may
`be changed between wafers or between batches depending oo
`the stability of the process. When wafer-to-wafer adjustments
`are required, in situ metrology is needed to provide measure(cid:173)
`ments in time to close the loop. If the pt'OL"e&S drift is understood,
`a feedback model can be used to predict and adjust the polish
`time required for each wafer in a batch. The polish time can be
`changed from wafer to wafer based on model estiniates, and
`then verified withmetrology afrerthe batch has beenrompleted.
`Feedback controllers can also be used to compensate auto(cid:173)
`matically for lhe changes in the slurry and degradation of ihe
`polish pads.
`Diffusion processes often require the simultaneous adjust(cid:173)
`ment of multiple variables. Low-pressure chemical vapor depo(cid:173)
`sition (LPCVD) batch processes typically require temperature
`and time adjustments. A feed.back con(cid:173)
`troller can be used to adjustend-'.rone tem(cid:173)
`peratures to minimize thickness differ(cid:173)
`ences between wafers proc-essed in the
`center and end zones of the furnace. The
`feedback controller also adju.'lts deposi(cid:173)
`tion time to center the process at the
`desired thickness target.
`Simple models are effective in both
`linear LPCVD deposition processes and
`nonlinear oxidation processes. In our work
`with oxidation processes, a process capa(cid:173)
`bility index (Cpk) improvement of 27"k
`was achieved usingasimplefeedbackcon(cid:173)
`troller (Fig. 2).
`Feedback adjustments are useful in
`etch processes to control CDs. Many etch
`processes use in situ end-point detection.
`Once end point has been established, the
`:recipe continues to etch the film for a pre(cid:173)
`defined over-etch lime. The impact of the
`end-point and over-etch times is mea(cid:173)
`sured in film thiclrnes& and CDs. Auto(cid:173)
`matic feedback control can be applied to
`adjust timed etch processes or over-etch
`time in end-point driven processes. The
`relationship between film thickness
`removed and CDs to etch process
`continued on PB,Je 52
`
`FJpre 2. Oxidation process unttormily a) without and ii) will! run-to-run process control.
`
`110 Solid State Technology • DeMndler 1899 • www.solld-state.com
`Copyright © 1999. All rights reserved.
`
`PDF Solutions v Ocean Semiconductor, IPR2022-01196
`PDF Exhibit 1007, Page 3 of 4
`
`

`

`Run-lo-nm praCNS ccnmot conlirn.100 from pBge 50
`parameters such as etch time,
`gas flow, and power can be
`modeled and controlled.
`
`FoecM'orwanll
`control ex-pies
`Although a process may be
`able to produce repeatable
`n.'Sults using feedback control
`methodology, process results
`may also be dependent on the
`initial state of wafers. This
`information can be automati(cid:173)
`cally provided through fucd(cid:173)
`furward modeling, However,
`prior to implementing any
`feed-forward t\.-chnique, the
`proL-css must be inherently sta(cid:173)
`ble or must use an effective
`feedback mechanism to pro-
`vide stability.
`One example where a fet.>d-forward control mechanism is
`useful is the adjustment of etch time to remove an interlayer
`dielectric for a via interconnect. This type of etch process
`may not be controlled with in situ end-point detection, because
`the small amount of film being removed does not provide the
`needed signal strength, Instead, the process should remove all
`of the film in the first attempt, but the initial film thickness is
`re-quired to select the target for the process.
`In CMP prtK.-esses, tht.>re are typical variations in initial sur(cid:173)
`face materia I that result in similar variations after the polish.
`By measuring wafers prior to polish, a feed-furward controller
`can adjw,1 the feedback controller target (amount of material
`lo be polished} after each run to minimize or eliminate these
`variations.
`Implant barrier variations can adversely affect the gain of a
`transistor. In this example, oxide and nitride layers are grown
`and deposited, respectively, on the wafer. Using lithography
`and etch proces.<;e;;, trenches are formed in the nitride layer. In
`forming the trench, the nitride is over-etched, resulting in
`removal of some of the initial oxide layer. A sacrificial oxide
`layer i'> grown in the trench over thi."> initial oxide, making a bar(cid:173)
`rier for the implant step. Thisirnplantbarriervariesrun-ter-run
`due to the over-etch of the nitride and the variations of the ini(cid:173)
`tial oxide growth. The incoming variation caused by these
`etch steps can be minimized by first measuring the initial oxide
`layer after the nitride etch and adjusting the target of the feed(cid:173)
`back controller on the sacrifida! oxide process to maintain a
`more consistent implant barrier (Eig. 3).
`fnphotolithography, feed-forward control can be used tocal(cid:173)
`t,-ulate alignment parameters from wafer to wafer. These are
`typically six to eight vararncters that can be predicted with firbt(cid:173)
`order models. Tilt can also be adjusred, but requires a much
`more complex model.
`
`Conclusion
`A,; factories look for new and innovative ways to reduce man(cid:173)
`ufacturing costs, nm-to-run process c-.mtrol solutions become
`increasingly important to squeeze the most performance out
`of processing tools. lt has been shown that run-to-run proces.q
`t,~mtro! provides significant process uniformity improvements,
`reduced process maintenance costs, and improved through(cid:173)
`put, leading to a lower cost of manufacturing,
`
`R&Ure 3. Feed-forward adjustment of sacrificial oitidli growth to raduCII implant
`barrier variation attributed to initial oxldatton and etch proo;ss variation.
`
`Our development and u.,e of
`the ARRC system shows that it
`provides a seamless architec(cid:173)
`ture for factory-wide run-to-run
`process control. [ts tools allow
`users with little or no modeli.ng
`and control expertise to create
`input-output relation.">hips for
`any process and control them
`to a desired larg<.-'1:. System flex(cid:173)
`ibility allows users lo imple(cid:173)
`ment more complex modeling
`and control methodologies
`using nplug-in" modules.
`We have presented here a
`few example processes in
`CMP, diffusion, l-'tch, and pho(cid:173)
`tolithography that can bene(cid:173)
`fit from feed-forward and
`feedback prmcess control, but
`applications are limited only by the imagination of the process
`II
`engineer,
`
`Acknowl~ta
`Additional authors indude Mike Simpson and Brian Cusson
`of Advanced Micro Devices, and Pradeep Swamy, Brad
`Schulze, and Kevin Dimond of SEMY Engineering. This
`project was sponsored in part by SEMATEGI's Equipment
`Productivity Improvement Team program. The authors thank
`Abhljil Bora, Tony Colombo, Keith Laidlaw, Tru:ig Mutlag,
`Scott Say, Ashok Tripathl, and Renran Yiu of SEMY Engi(cid:173)
`neering, and Tom Timmons of Advanced Micro Devices for
`their invaluable contributions. MATLAB is a registered trade(cid:173)
`mark of The Math Works Inc. Equipment Supervisor Work(cid:173)
`station and Advanced Run-to-Run Control are registered trade(cid:173)
`marks of SLIMY Engineering.
`
`R.rer-•
`1. R. Patty, "A More Rebusi and Reliable Statistical Process Control Systom,"
`ITTtarr-,otional Symposium on Samiccnductor Manufat:turing, 1999.
`2. K. Stoddard et al., "llpplica1ion of Feed·f-afWard and -ptive Faedback Coo-
`1n:» to Samir,oruJuctOl Device Manufac!Uling," Aniertcan Gontml COflference.
`Baltimore, Maryland, ,ltX:e 1994.
`3. N, Zho ot al., "A Gompwalive Analysis of fiun-lo-Hun Control A!gorilhrrs 01
`the Semiconductor Mamlacluring lndu•try," IEEE/SEMI 1996 Advancod Semi-(cid:173)
`conductor Manufacturing Conforenco & Workshop, pp, 375'··361, New
`York, 19<J6.
`4. M. Miller, ·rromAPG Pik~ toFuilPmduc'.lon Sysrom-Scaling lJpis Hara to
`Do," /lff'JAPC Symposium Xl, Vai~ Colorado, Septamber 19W.
`5. M, Yolverton et al., ·11 Comotete Furnace Control Pl8tf0fm for High-Vol,,me
`Manufacturing," lntemational Symposium on Somiconc!uctor Manufacturing,
`Tokyo, Japan, Octob<lr 1998.
`6, T. Dow<l, ·Results of Af'.C/Af'G Deployment ct Whtto Oak Semiconductor,·
`Af'.G/APC Symposil•n Xl, Vaii, Colorado, September 1900,
`7. M. Hankinson et al., "Integrated Real 11me and Run-to-Run Control of Etch
`Depth in Reactive lor. Etchir:g, • IEEE Transactions Som/conductor Mant ilac(cid:173)
`tur.ng, Vo!. 10, No, 1, pp. 121-130, Febroory 1997.
`8, T ,H. Smirt, et al., "Run-by-Run Advanced Proooss Control of I\Aetal Sputter
`Dooosition,,. iEEE Transactions Sernk;onductor Manufacturing, Vol. : -~, No.
`2, pp, 276-284, May 1998.
`Using f¼al-llmo Tool Da~~.- lffE Tmnsactions SemicD(llR./Clor Manttfuc:l!Jr(cid:173)
`9, S.F. Leo. C.,L Sparu:-.s, "Prodlc:lor, of Waler State After Po,s:na i'rOCP.ssing
`
`ing, Vol. 8, No. 3, Al~~lh11995,
`
`For more information, contad Kevin Stoddard at SEMY £11~eer(cid:173)
`i11g Jr,c., 2340 West Shangri La Rd., Phoenix, A7. 85029; ph 602/861-
`9395,Jax 602/861-.1442, e-mail kslodaard®"emy.mm,
`
`Copyright © 1999. All rights reserved.
`112 Solid State "fcchnolcgy • December i999 • www.solki-stats.com
`
`PDF Solutions v Ocean Semiconductor, IPR2022-01196
`PDF Exhibit 1007, Page 4 of 4
`
`

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket