throbber
Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`As described in the following claim chart, claims 1-9 of U.S. Patent No. 6,836,691 (“the ‘691 Patent”) are invalid under 35 U.S.C.
`§§ 102 and/or 103 in view of U.S. Patent No. 7,123,980 (“Funk ‘980”). To the extent that Funk ‘980 is found not to anticipate one or
`more of the claims of the ‘691 Patent, those claims are obvious in view of Funk ‘980 alone or in combination with other prior art
`references, including, without limitation, one or more references identified in Exhibit G to Defendant’s Preliminary Invalidity
`Contentions. Defendant’s Preliminary Invalidity Contentions provide additional details regarding the motivation to combine Funk
`‘980 and the references cited in those exhibits.
`Citations to particular documents or passages are merely exemplary of where each limitation is found. Defendant reserves the right to
`rely on other documents or passages providing comparable evidence of how Funk ‘980 alone or in combination with other prior art
`renders the ‘691 Patent invalid.
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`1[p]
`
`A method, comprising:
`
`Defendant does not concede that the preamble is limiting. To the extent
`it is limiting, see, e.g.:
`
`Funk ‘980 at 1:41-42: “Computers are generally used to control,
`monitor, and initialize manufacturing processes.”
`
`Funk ‘980 at 2:23-44: “Accordingly, it is an object of the present
`invention to provide an Advanced Process Control (APC) system for
`controlling a processing tool in a semiconductor processing
`environment, where the APC system comprises an APC server providing
`a plurality of APC related applications; an Interface Server (IS) coupled
`to the APC server; a database coupled to the IS and APC server; and a
`GUI component coupled to the APC server, wherein the IS comprises
`means for coupling to a processing tool, and means for coupling to a
`plurality of process modules coupled to the processing tool.
`
`152515573.1
`
`- 1 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`In addition, it is an object of the present invention to provide a method
`for using an Advanced Process Control (APC) system for controlling a
`processing tool in a semiconductor processing environment, the method
`comprising: providing an APC server providing a plurality of APC
`related applications; providing an Interface Server (IS) coupled to the
`APC server; providing a database coupled to the IS and APC server; and
`providing a GUI component coupled to the APC server, wherein the IS
`comprises means for coupling to a processing tool, and means for
`coupling to a plurality of process modules coupled to the processing
`tool.”
`
`Funk ‘980 at 5:23-55: “In FIG. 1 four process modules are shown, but
`this is not required for the invention. The semiconductor processing
`system can comprise any number of processing tools having any number
`of process modules associated with them and independent process
`modules. The APC system 145 (including one or more TL controllers)
`can be used to configure, control, and monitor any number of processing
`tools having any number of process modules associated with them and
`independent process modules. The APC system can collect, provide,
`process, store, and display data from processes involving processing
`tools, process modules, and sensors.
`
`Process modules can be identified using data such as ID, module type,
`gas parameters, and maintenance counters, and this data can be saved
`into a database. When a new process module is configured, this type of
`data can be provided using a module configuration panel/screen in GUI
`component 180. For example, the APC system can support the following
`
`152515573.1
`
`- 2 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`tool types from Tokyo Electron Limited: Unity-related process modules,
`Trias-related process modules, Telius-related process modules, OES-
`related modules, and ODP-related modules. FIG. 2 shows an exemplary
`block diagram of a system from Tokyo Electron Inc. Alternately, the
`APC system can support other tools and their related process modules.
`For example, APC system 145 can be connected to process modules 120
`via an Internet or intranet connection.
`
`The process module ID can be an integer; the number of gas parameters
`can depend on the module type, and the maintenance counter
`information can also depend on the module. For example, a user can
`assign a new name to a specific maintenance counter, assign it a special
`scale rate, and assign the tool pause function to this maintenance
`counter. General counters are provided as a part of maintenance
`counters, and can be configured by the user.”
`
`Funk ‘980 at Fig. 4:
`
`152515573.1
`
`- 3 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`1[a]
`
`collecting metrology data related to the
`processing of workpieces in a plurality of
`tools;
`
`
`
`See, e.g.:
`
`Funk ‘980 at 1:56-67: “Semiconductor processing facilities require
`constant monitoring. Processing conditions change over time with the
`slightest changes in critical process parameters creating undesirable
`results. Small changes can easily occur in the composition or pressure of
`
`152515573.1
`
`- 4 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`an etch gas, process chamber, or wafer temperature. In many cases,
`changes of process data reflecting deterioration of processing
`characteristics cannot be detected by simply referring to the process data
`displayed. It is difficult to detect early stage abnormalities and
`characteristic deterioration of a process. Oftentimes prediction and
`pattern recognition offered by advanced process control (APC) is
`necessary.”
`
`Funk ‘980 at 3:29-41: “FIG. 1 shows an exemplary block diagram of an
`APC system in a semiconductor manufacturing environment in
`accordance with one embodiment of the present invention. In the
`illustrated embodiment, semiconductor manufacturing environment 100
`comprises at least one semiconductor processing tool 110, multiple
`process modules 120, PM1 through PM4, multiple sensors 130 for
`monitoring the tool, the modules, and processes, sensor interface 140,
`and APC system 145. APC system 145 can comprise interface server
`(IS) 150, APC server 160, client workstation 170, GUI component 180,
`and database 190. In one embodiment, IS 150 can comprise a real-time
`memory database that can be viewed as a “Hub”.”
`
`Funk ‘980 at 3:52-57: “For example, the tools and their associated
`process modules can be used to perform etching, feature trimming,
`deposition, diffusion, cleaning, measurement, polishing, developing,
`transfer, storage, loading, unloading, aligning, temperature control,
`lithography, integrated metrology (IM), optical data profiling (ODP),
`particle detection, arc suppression, and other semiconductor
`manufacturing processes.”
`
`152515573.1
`
`- 5 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`Funk ‘980 at 3:64-4:6: “In one embodiment, processing tool 110 can
`comprise a tool agent (not shown), which can be a software process that
`runs on a tool 110 and which can provide event information, context
`information, and start-stop timing commands used to synchronize data
`acquisition with the tool process. Also, APC system 145 can comprise
`an agent client (not shown) that can be a software process that can be
`used to provide a connection to the tool agent. For example, APC system
`145 can be connected to processing tool 110 via an Internet or intranet
`connection.”
`
`Funk ‘980 at 5:7-21: “When a processing tool comprises internal
`sensors, the processing tool can be considered a sensor, and this data can
`be sent to the APC system 145. Data files can be used to transfer this
`data. For example, some processing tools can create trace files that are
`compressed in the tool when they are created. Compressed and/or
`uncompressed files can be transferred. When trace files are created in
`the processing tool, the trace data may or may not include end point
`detection (EPD) data. The trace data provides important information
`about the process. The trace data can be updated and transferred after the
`processing of a wafer is completed. Trace files are transferred to the
`proper directory for each process. In one embodiment, tool trace data,
`maintenance data, and EPD data can be obtained from a processing tool
`110.”
`
`Funk ‘980 at 5:26-32: “The APC system 145 (including one or more TL
`controllers) can be used to configure, control, and monitor any number
`of processing tools having any number of process modules associated
`
`152515573.1
`
`- 6 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`with them and independent process modules. The APC system can
`collect, provide, process, store, and display data from processes
`involving processing tools, process modules, and sensors.”
`
`Funk ‘980 at 7:4-14: “As shown in FIG. 1, APC system 145 can
`comprise a database 190. Tool maintenance data can be stored in
`database 190. In addition, raw data and trace data from the tool can be
`stored as files in the database 190. The amount of data depends on the
`data collection plans configured by the user, as well as the frequency
`with which processes are performed and processing tools are run. For
`example, data collection plans can be established for determining how
`and when to collect tool status and process-related data. The data
`obtained from the processing tools, the processing chambers, the
`sensors, and the APC system is stored in tables.”
`
`Funk ‘980 at 7:52-59: “In the illustrated embodiment shown in FIG. 1, a
`single client workstation 170 is shown but this is not required for the
`invention. The APC system 145 can support a plurality of client
`workstations 170. In one embodiment, the client workstation 170 allows
`a user to configure sensors; to view status inclining tool, chamber, and
`sensor status; to view process status; to view historical data; to view
`fault data, and to perform modeling and charting functions.”
`
`Funk ‘980 at 8:4-16: “The APC server 160 comprises at least one
`computer and software that supports multiple process tools; collects and
`synchronizes data from tools, process modules, sensors, and probes;
`stores data in a database, enables the user to view existing charts; and/or
`provides fault detection. For example, APC server 160 can comprise
`- 7 -
`
`152515573.1
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`operational software, such as the Ingenio software, from Tokyo
`Electron. The APC server allows online system configuration, online
`lot-to-lot fault detection, online wafer-to-wafer fault detection, online
`database management, and performs multivariate analysis of summary
`data using models based upon historical data. In addition, the APC
`allows real-time monitoring of processes and processing tools.”
`
`Funk ‘980 at 12:19-32: “Data acquisition, also known as data collection,
`is accomplished through two routes. The tool collects data during a
`wafer run and stores the data in a trace file. After each wafer is
`processed on the tool, the trace file is copied from the tool to the APC
`file system, where the APC software parses the file and posts the data to
`the in-memory data tables. The in-memory data is then sent to the
`relational database and finally posted to the post-processing component.
`
`Process related data is collected by the APC system, using one or more
`sensors, each one using a data recorder. At run time, this data is sent to a
`file similar to the trace file on the tool. At recipe end, the file is parsed
`and the data is sent to the in-memory data tables, which are managed by
`the IS 150.”
`
`Funk ‘980 at 20:53-26:56: “The data can come to the event receiver
`method in the form of a blob, which can be mapped directly into a
`record format. In addition, the event receiver can map the tool record
`into an array record in a process chamber application, and call a dispatch
`events method. This event can then be propagated to the strategy and
`control job applications.”
`
`152515573.1
`
`- 8 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`Funk ‘980 at 24:20-26:56: “For example, a control strategy can be
`named ControlStrategyA1 and can comprise four sequences that are
`performed at different times. Sequence 1 can be a hard mask CD process
`performed in a metrology module using a first metrology recipe;
`sequence 2 can be a hard mask etch process performed in a process
`module using a first etch recipe; sequence 3 can be a poly CD process
`performed in a metrology module using a second metrology recipe; and
`sequence 4 can be a poly etch process performed in a process module
`using a second etch recipe.
`
`In 820, a data collection (DC) strategy is executed. APC system
`executes DC strategy that is defined for the control strategy, applying
`DC plan filters and executing summary calculations based on a process
`context. The process context can be dependent upon the production step
`being performed and/or the chamber being monitored. The context
`determines which strategy and/or plan is executed for a particular
`process recipe. For example, if a recipe contains a context term “hard
`mask CD”, then DC strategies associated with the “hard mask CD”
`context term can be executed when process tool runs processes with any
`recipe that contains the context term (element) “hard mask CD”.
`
`During runtime, a start event can cause the APC system to lookup the
`current context data, determine which strategies match the context,
`determine which plans to run, and invoke their corresponding scripts. A
`control strategy record can contain context-matching information such
`as wafer-run, tool, chamber, recipe, slot, etc. For example, the APC
`system can compare the runtime context information and try to match it
`
`152515573.1
`
`- 9 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`against a database of strategies. Each control strategy can contain at least
`some of the following context information: tool ID, lot ID, chamber ID,
`cassette ID, slot ID, wafer ID, recipe ID, control job ID, process job ID,
`start time, end time, step number, state, maintenance counter value,
`product ID and material ID.
`
`The process context can be dependent upon the process being performed
`and the tool being monitored. In context matching process, search order
`can be important. For example, the search can be executed by using the
`precedence order in GUI table. Search can be implemented using SOL
`statements. Once a strategy is identified, data collection plan including a
`sensor plan, data preprocessing plan and judgment plan can be
`automatically determined. The data collection plan ID, data
`preprocessing plan ID, and judgment plan ID can be sent to “execute
`control strategy” modules. If a matching strategy does not exist when the
`compare process context function is performed, then the software
`displays an error message in the fault field in tool status GUI screen and
`popup windows can be used to allow a user to correct the error.
`
`Context can be defined by a combination of the context elements. For
`example, context can be an array of the context elements in a pre-
`determined order, or context may be a set of name-value pairs in a
`dictionary form.
`
`In addition, the plans associated with the DC strategy are executed. At
`least one of a data collection plan, a data pre-processing plan, and a
`
`152515573.1
`
`- 10 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`judgment plan can be executed. In addition, a sensor plan, a parameter
`select plan, and a trim plan can also be executed.
`
`Data collected during production runs that yield high quality product can
`be used to establish “good tool state” data, and data collected
`subsequently can be compared with this baseline data to determine if a
`tool is performing correctly in real-time.
`
`A control strategy can be established to determine tool health status as
`part of the Quality Control (QC) testing. A control strategy and its
`associated plans can be executed to ensure that a system or a portion of
`the system such as a processing tool is operating properly. For example,
`a tool health control strategy and its associated plans can be executed at
`a prescribed time or when a user schedules one. When a tool health
`control strategy and its associated plans are being executed, diagnostic
`wafer data can be collected. A diagnostic, dummy, product, or test wafer
`can be processed, and the context can be tool, module, or sensor
`diagnostics.
`
`A control strategy and its associated plans can be established for process
`module preparation processes, such as seasoning-related processes. For
`example, after a cleaning process (i.e., wet clean) a number of dummy
`wafers can be processed using seasoning related strategies, plans, and
`recipes. A user can use the strategies and plans that are part of the APC
`system, or a user can easily and quickly develop new seasoning-related
`control strategies using the APC system. A user may try a set of
`different seasoning data collection plans and recipes to determine which
`
`152515573.1
`
`- 11 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`seasoning recipe has the best detection power. The data from these
`seasoning runs can be used to further refine process and tool modeling.
`
`A control strategy and its associated plans can be established for process
`module characterization processes, such as chamber fingerprinting. For
`example, after a maintenance process a number of dummy wafers can be
`processed using fingerprinting-related data collection plans and recipes.
`The data from these fingerprinting runs can be used to further refine
`process and tool modeling. The fingerprinting data can be used for
`analysis to identify the best model that minimizes the critical chamber
`mismatches that affect the on-wafer process results.
`
`Static and dynamic sensors are setup when a data collection plan is
`executed. The data collection plan can comprise a sensor setup plan. For
`example, the start and stop times for the sensors can be determined by
`the sensor setup plan. The dynamic variables required by the dynamic
`sensors can be determined by the sensor setup plan. A recipe start event
`can be used to tell a sensor to start recording. A wafer in event can be
`used to setup a sensor. A recipe stop event or a wafer out event can be
`used to tell a sensor to stop recording.
`
`The data collected and the sensors being used are dependent upon the
`DC strategy context. Desirably, different sensors can be used and
`different data can be collected for product wafers and non-product
`wafers. For example, tool status data can be a small portion of the data
`collected for a product wafer, and tool status data can be a large portion
`of the data collected for a non-product wafer.
`
`152515573.1
`
`- 12 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`The data collection plan also includes a data preprocessing plan that
`establishes how the expected observation parameters are to be processed
`with respect to spike counting, step trimming, value thresholds, and
`value clip limits.
`
`When the data preprocessing plan is executed, time series data can be
`created from raw data files and saved in the database; wafer summary
`data can be created from the time series data; and lot summary data can
`be created from the wafer data. The data collection can be executed
`while the wafer is being processed. When the wafer is out of this process
`step, then the data pre-processing plan can be executed.
`
`A data collection plan is a reusable entity configured by the user to
`collect the desired data. The data collection plan consists of the
`configuration of one or more sensors on one or more separate process
`modules. The plan also includes the selection of the data items that
`should be collected by the associated sensors, and which of the data
`items are to be saved.
`
`A sensor can be a device, instrument, processing tool, process modules,
`sensor, probe, or other entity that either collects observation data or
`requires software setup interaction, or can be handled by the system
`software as if it is a sensor. For example, processing tools and process
`modules can be treated as if they are sensors in data collection plans.
`
`152515573.1
`
`- 13 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`Several instances of the same sensor type can be installed on a tool at the
`same time. The user can select the specific sensor or sensors to use for
`each data collection plan.
`
`Data collected in the system flows through a set of steps between the
`real-time sensor collection and the database storage. Data collected can
`be sent to an interface server that can comprise a real-time memory SQL
`database. The interface server can provide a physical location for the
`data to be processed by different algorithms defined by the user through
`plans in the APC system and by scripts defined by the user.
`
`The APC system provides independent data collection modes and setup
`modes for each process module; that is, each process module can be
`independent of any other process modules, and the setup of one process
`module does not interrupt the data collection of other process modules.
`This minimizes the amount of non-productive time for the
`semiconductor processing system.
`
`When a DC strategy comprises a judgment plan, the judgment plan is
`executed. The execution can be rule based and comprise SQL
`statements. A start event judgment plan can be executed after a “start
`event” occurs, and an end event judgment plan can be executed after an
`“end event” occurs. For example, when a start-event judgment plan is
`associated with a control strategy, it can be executed after a start event
`such as a wafer-in event, a process start event, or a recipe start event. A
`start event judgment plan can be part of the alarm management portion
`of a tool status monitoring system.”
`
`152515573.1
`
`- 14 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`Funk ‘980 at 27:17-30:45: “In one embodiment, a process context can be
`compared with a list of analysis strategies. For example, APC server 160
`(FIG. 1) gets the current process context as a string when a “process
`start” event occurs. The process context can be compared with the list of
`analysis strategies, and then the proper strategies are identified.
`
`In this process, search order can be important. For example, the search
`can be executed by using the precedence order in GUI table. Search can
`be implemented using SQL statements. When an analysis strategy is
`identified, at least one of a Statistical process Control (SPC) plan, a
`Partial Least Squares (PLS) plan, a Principal Component Analysis
`(PCA) plan, a Multivariate Analysis (MVA) plan, a Fault Detection and
`Classification (FDC) plan, a judgment plan, and a user defined plan can
`be automatically determined. The analysis plan IDs, and judgment plan
`IDs can be sent to “execute analysis strategy” modules. If a matching
`strategy does not exist when the compare process context function is
`performed, then the software can display an error message in the fault
`field in tool status GUI screen and popup windows can be provided to a
`user to identify the correct strategy to use.
`
`There can be multiple analysis strategies that match a run context, these
`analysis strategies are executed at a particular time for a particular
`processing tool. The user determines the order of the strategies within a
`specific context by moving the strategies up or down on the list. When
`the time comes for the strategy to be selected, the software can start at
`the top of the list and goes down the list until it finds the first strategy
`
`152515573.1
`
`- 15 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`that matches the requirements determined by the context and executes
`that strategy first.
`
`In addition, there can be multiple plans in each analysis strategy, and the
`user determines the order of the plans within an analysis strategy by
`moving the plans up or down on the list. When the time comes for the
`plans to be executed, the software starts at the top of the list and goes
`down the list.
`
`One method for using context-based execution can be to do context
`matching. For example, when executing context matching, the context of
`the wafer currently being processed can be used. Alternately, the context
`of a substrate or other semiconductor product currently being processed
`can be used. When the context is determined, it can be compared with
`the context of analysis strategies. When a context match occurs, one or
`more analysis strategies can be executed.
`
`When an analysis strategy is executed, analysis plans and judgment
`plans are identified. For example, a context-matching execution software
`module can be used that allows for the dynamic setup and invocation of
`at least one analysis strategy. In one case, a wafer-out event can trigger a
`system controller to lookup the current context data, determine which
`analysis strategies to run, and invoke the corresponding scripts to
`determine the associated plans.
`
`In addition, the plans associated with the analysis strategy are executed.
`When the analysis plans are executed, at least one of a SPC plan, a PLS
`plan, a PCA plan, a MVA plan, a FDC plan, a judgment plan, and a user
`
`- 16 -
`
`152515573.1
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`defined plan can be executed. Analysis performed on data collected
`during production runs that yield high quality product can be used to
`establish a “good tool state” model, and data collected subsequently can
`be analyzed using this baseline model to determine if a tool is
`performing correctly in real-time.
`
`An analysis strategy can be established to determine tool health status as
`part of the Quality Control (QC) testing. For example, a tool health
`analysis strategy and its associated plans can be executed to ensure that a
`system or a portion of the system such as a processing tool is operating
`properly. A tool health analysis strategy and its associated plans can be
`executed at a prescribed time or when a user schedules one. When a tool
`health analysis strategy and its associated plans are being executed,
`diagnostic wafer data can be analyzed using diagnostic models, where
`the diagnostic models can include SPC charts, PLS models, PCA
`models, FDC models, and MVA models.
`
`An analysis strategy and its associated plans can be established for
`process module preparation processes, such as seasoning-related
`processes. For example, after a cleaning process (i.e., wet clean) the data
`collected from a number of dummy wafers can be analyzed using
`seasoning related models. A user can use the analysis strategies, plans,
`and models that are part of the APC system, or a user can easily and
`quickly develop new seasoning-related analysis strategies, plans, and
`models using the APC system. A user may try different analysis models
`to determine which seasoning related model has the best detection
`
`152515573.1
`
`- 17 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`power. The analysis results from these seasoning runs can be used (fed
`back) to further refine the control strategies and data collection plans.
`
`An analysis strategy can be established for process module
`characterization processes, such as chamber fingerprinting. For example,
`after a maintenance process the data collected from a number of dummy
`wafers can be analyzed using fingerprinting-related models. The
`analysis results from these fingerprinting runs can be used (fed back) to
`further refine the control strategies and data collection plans. The
`analysis results can be used to identify the best model that minimizes the
`critical chamber mismatches that affect the on-wafer process results.
`
`When a strategy comprises a judgment plan, the judgment plan can be
`executed. The execution can be rule based and comprise SQL
`statements. A start-event judgment plan can be executed after a “start
`event” occurs, and an end-event judgment plan can be executed after an
`“end event” occurs. For example, when an end-event judgment plan is
`associated with an analysis strategy, it can be executed after an end
`event such as a wafer-out event, a process stop event, a recipe stop
`event, a batch-out event, or a lot-out event. An end-event judgment plan
`can be part of the alarm management portion of a tool status monitoring
`system.
`
`When an alarm occurs (i.e., a fault is detected) after a end event, a
`judgment plan associated with an analysis strategy can send messages
`and/or instructions to an intervention plan to take the following actions:
`display a fault message on a status screen, write a fault message in a log
`
`152515573.1
`
`- 18 -
`
`IPR2021-01348
`Ocean Semiconductor Exhibit 2026
`
`

`

`Exhibit G-04 to Defendant’s Invalidity Contentions:
`Comparison of U.S. Patent No. 6,836,691 and Funk ‘980
`
`ELEMENT
`NO.
`
`‘691 CLAIM ELEMENT
`
`FUNK ‘980
`
`file, send a pause next wafer message, send a pause next lot message,
`send warning message to the tool, and email to the tool owner.
`
`Judgment plans operate independently. Each judgment plan does not
`need to know the actions in other judgment plans. As a result, there can
`be some redundancy or inconsistency in actions, and an intervention
`plan can be used to resolve any problems. An exemplary relationship
`diagram for judgment plans and intervention plan is shown in FIG. 10.
`
`In 830, a query can be performed to determined if an alarm has been
`produced. When an alarm has occurred, procedure 800 branches to 850.
`When an alarm has not occurred, procedure 800 branches to 835. In 850,
`an intervention plan can be executed. The intervention plan executes the
`following processes: get messages (judgments) from each judgment
`plan; categorize actions from different judgment plans; attach process
`condition like tool ID, recipe ID, recipe start time, etc.

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket