throbber
454
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002
`
`Comparing the Economic Impact of Alternative
`Metrology Methods in Semiconductor Manufacturing
`
`Payman Jula, Costas J. Spanos, Fellow, IEEE, and Robert C. Leachman
`
`Abstract—Metrology is an essential part of advanced semi-
`conductor manufacturing. It accelerates yield improvement and
`sustains yield performance at every stage in both new and mature
`processes. Advances in metrology are needed to achieve chal-
`lenging industry goals, such as smaller feature sizes and reduced
`time for introduction of new materials and processes for future
`technology. To achieve difficult industry goals,
`it is expected
`that metrology practices will migrate from offline to inline, and
`ultimately, to in situ. Economic models are needed to study the
`costs and benefits of introducing new metrology technologies and
`to compare alternative metrology practices. Several qualitative
`and quantitative models are presented in this paper to study the
`elements of revenue and cost associated with different metrology
`tools and practices. Comparisons between in situ,
`inline and
`offline metrology systems are made. The cost components of the
`metrology methods are analyzed and discussed with respect to
`steady state process control as well as their effect on time to
`yield. Monte Carlo simulation models are used to study each
`system under different scenarios.
`
`Index Terms—Continuous-time Markov chain, economics,
`metrology, semiconductor manufacturing.
`
`I. INTRODUCTION
`
`H ISTORICALLY, semiconductor manufacturers rely on
`
`statistical process control (SPC) techniques for main-
`taining the processes within prescribed specification limits.
`While semiconductor manufacturing has continued to pursue
`ever-tightening specifications due to the well-known problems
`associated with the decreasing feature size, it has also become
`clear that
`there is a need for advanced-integrated process
`control. This approach requires a major shift in operational
`methods and requires the existence of complex, flexible archi-
`tectures to meet the above requirements. New metrology tools
`are introduced as an essential part of these architectures.
`Metrology accelerates yield improvement at every stage
`in both new and mature processes. Appropriate metrology
`practices can reduce the cost and cycle-time of manufacturing
`through better characterization of tools and processes. Ad-
`vances in metrology are needed to achieve difficult industry
`goals, such as smaller feature sizes and reduced time for intro-
`duction of new materials and processes for future technology.
`
`Manuscript received May 9, 2002; revised July 15, 2002.
`P.
`Jula and R. C. Leachman are with the Industrial Engineering
`and Operations Research Department, University
`of California
`at
`Berkeley, Berkeley, CA 94720 USA (e-mail: payman@ieor.berkeley.edu;
`leachman@ieor.berkeley.edu).
`C. J. Spanos is with the Electrical Engineering and Computer Sciences
`Department, University of California at Berkeley, Berkeley, CA 94720 USA
`(e-mail: spanos@eecs.berkeley.edu).
`Digital Object Identifier 10.1109/TSM.2002.804909
`
`To achieve these goals, it is expected that metrology practices
`will migrate from offline to inline, and ultimately be integrated
`in the tools (“in situ”) [1].
`Researchers have concentrated on the economic impact of
`particular aspects of metrology tools such as the sampling policy
`[2], [3] and the precision [4]. Dance et al. [5] tried to capture
`the economic behavior of metrology tools through a modified
`cost of ownership (COO) model. Still there is a need for more
`comprehensive models to identify elements of cost in complex
`metrology systems.
`Unless convinced otherwise, manufacturers are usually reluc-
`tant to adopt major equipment and technology changes because
`of the short-term uncertainties that arise during the introduc-
`tion of new technologies. Appropriate metrology models assist
`the semiconductor manufacturers to assess the costs that drive
`their businesses and help them in formulating the right opera-
`tional strategies. The ability to effectively identify cost drivers
`and manage cost reductions is a competitive advantage for any
`manufacturer. Therefore, accurate models are needed to study
`the costs and benefits of introducing new technologies and eval-
`uate different practices. Toward this goal, this paper introduces
`new analytical models to compare different metrology methods
`in a litho track system.
`Although this study tries to address the economics of
`metrology systems in a general form, the examples and illustra-
`tions are developed for litho track systems. Lithography steps
`are among the most crucial, and lithography tools are among
`the most expensive in semiconductor manufacturing. Most of
`the models offered in this document can easily be modified and
`extended to other equipment sets and metrology tools.
`Fig. 1 shows different metrology methods in a litho track
`system in terms of the position of the metrology tool in the
`system. Wafers first enter the track system, where they go
`through steps such as coating and baking in preparation for the
`main lithography process (stepper), in which small features
`are printed on the wafer. After lithography, wafers go through
`additional steps in the track system, such as post exposure bake
`(PEB) and development (DE).
`The qualities of the features defined during lithography
`(which in turn depends on the quality of the lithography
`process) have a direct effect on the quality of the final product.
`Therefore, we are interested in measuring and controlling the
`quality of the lithography step. The quality of the process
`(here the lithography step) is represented by measuring certain
`quantities on the wafer, such as the critical dimension (CD) of
`fine printed patterns.
`Offline systems, as depicted in Fig. 1(a), have traditionally
`been practiced by semiconductor manufacturers. In this method,
`
`0894-6507/02$17.00 © 2002 IEEE
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 1 of 10
`
`

`

`JULA et al.: COMPARING THE ECONOMIC IMPACT OF ALTERNATIVE METROLOGY METHODS
`
`455
`
`flows in our models, or attempt to evaluate the investments in
`terms of interest rates or discounted returns or tax benefits.
`
`II. ANALYTICAL MODELS OF METROLOGY METHODS
`
`In general, since metrology operations are in series with the
`processes, they reduce the throughput and increase the work
`in process (WIP) and the cycle time. WIP inventory between
`a process step and the subsequent inspection is at risk if the
`process drifts to an undesirable state. Manufacturers have been
`trying to reduce these risks using different methods such as
`changing the sampling policies and send-ahead samples.
`Simply reducing the number of samples may result in a better
`cycle time and WIP, but it negatively affects the throughput of
`good products. Product yields at subsequent steps depend on the
`quality of information extracted from the metrology data. The
`quality of information generated from the metrology measure-
`ments can be partly characterized by its accuracy, precision and
`sampling policy.
`It is desirable to identify bad products passing through the
`metrology tool and detect the out of control state of the process
`as soon as possible. This can be achieved by tightening the ac-
`ceptance criteria. If, however, these criteria are too tight, then
`good products may be rejected, or the system may be shut down
`unnecessarily, resulting in production loss.
`Another cause for production loss is the WIP between the
`process tool and the metrology tool. If the process drifts to
`an undesirable state, the process keeps manufacturing bad
`products until they are detected by the metrology tool. All the
`product in WIP processed since the process went out-of-control
`needs to be reworked or discarded. A send-ahead (also known
`as look-ahead) sample method eliminates the WIP risk but re-
`duces the process throughput and utilization. In the send-ahead
`sampling method, one or more wafers are processed and then
`submitted for measurement. The remaining wafers in the batch
`are processed after the measurements are complete, the results
`are released and the equipment is adjusted.
`Therefore, it is also desirable to minimize the WIP in the
`system. Assuming the same throughput for metrology tools, mi-
`grating from offline to inline and in situ usually reduces the WIP.
`In other words, integrated inline and in situ metrology operation
`minimizes the WIP lost with little impact on utilization. How-
`ever, the feasibility of these approaches and the quality of data
`collected by inline and in situ tools, along with the price tag
`of these types of equipment, should be considered in making a
`decision.
`
`A. Overall Equipment Efficiency (OEE)
`Overall equipment efficiency (OEE) is one of the most im-
`portant metrics for measuring equipment performance. OEE is
`defined as the ratio of the theoretical time needed to produce
`salable wafers in a given period, divided by the total time in
`that period [7]. Theoretical time refers to the time required by a
`machine in perfect working order performing the process spec-
`ification under ideal conditions.
`Since, in this study, we are mainly interested in understanding
`the differences among metrology practices, we classify the
`losses in equipment processing time into two main categories.
`
`Fig. 1. Different metrology methods applied to a Litho track system: (a)
`offline, (b) inline, and (c) in situ. “M ” indicates the position of the metrology
`tool.
`
`the metrology tool is located after the track system. Wafers are
`transported to the metrology tool by lots. Lots are then measured
`by the metrology tool with an appropriate sampling policy. Of-
`fline metrology tools are usually accurate and fast, but are also
`expensive and occupy significant clean room space.
`Newer inline systems occupy little footprint in the fab. Their
`accuracy and speed, however, is generally inferior to offline,
`though rapidly improving. In situ metrology systems are fully
`integrated and the measurements are done while the wafers are
`being processed or shortly after the process is completed. In situ
`lithography systems are under development and expected to be
`introduced with future generations of lithography tools.
`To study the elements of cost in the above system, several
`qualitative and quantitative models are introduced in this paper.
`In the next section, the major components of the costs and ben-
`efits for metrology practices are analyzed and two revenue and
`cost models are introduced. The effects of metrology methods
`on revenue during the steady state and the time to maturity
`are explained. Monte Carlo simulation studies are conducted
`to compare different scenarios in Section III. First, the results
`of analytical model are compared to those of simulation model
`for a simple system. Then, the effects of yield and price struc-
`ture, control policies, and the precision of metrology tools are
`examined in a series of scenarios. The results are presented and
`analyzed for each scenario. Recommendations are provided for
`each scenario and results are discussed. Conclusions and future
`avenues of study are explored at the end.
`Financing considerations should be addressed along with our
`models. In this paper, we do not account for the timing of cash
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 2 of 10
`
`

`

`456
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002
`
`The first set of losses is associated with the metrology tool,
`its specifications, and the control policy chosen to detect and
`improve the bad process. The term “Bad process,” in this doc-
`ument, refers to the process that is out of control and produces
`out-of-spec products; the products that are not conforming to
`the required specifications set by the fab management. These
`specifications are those that are measured by the metrology
`tool. The crosshatched area between OEE and OEE in Fig. 2
`shows the first set of losses. These losses are the focus of this
`study and will be further explored.
`The second set of losses contains any loss that is not captured
`in the first set. These losses are those that occur regardless of
`the type of metrology tool and the control policy. Any loss of
`production due to unavailability of machine, bad utilization of
`equipment and slow process belongs to this category. The area
`between the OEE and 100% available time in Fig. 2 shows this
`set of losses.
`
`B. A Mathematical Model of Metrology Tools
`
`Assume the main process is up and in the “In Control” state
`for an exponential amount of time with the mean of mean time
`between failures (MTBF) of the process. The process goes to the
`“out-of-control” state and stays in this state until detected by the
`metrology tool. The quality of information extracted from the
`metrology measurements can be partly characterized by param-
`eters
`and . The type I error,
`, is the probability of rejecting a
`good product or process. The type II error,
`, on the other hand,
`shows the probability of accepting a bad product or process. The
`power of metrology,
`, is the probability of correctly re-
`jecting a process or product. Accuracy, precision, and sampling
`policy in metrology are among the factors that affect the quality
`of information extracted from the metrology tool.
`The time that is spent in the out-of-control state by the equip-
`ment is proportional to two factors; first, the time required for
`the results of the metrology tool to become ready, and second,
`the power of the metrology measurement. It is assumed that the
`equipment stays in the out-of-control state for an exponential
`amount of time with the mean of ACTM/
`, where
`is the power of the metrology tool and ACTM is the average
`cycle time to metrology. ACTM is the response time from the
`metrology tool, which depends on the amount of WIP between
`the process and the metrology tool. After the metrology tool
`gives the signal that the process is out of control, the process
`is shutdown and the repair starts.
`It is assumed that the tool stays in this state, which is called
`the “Failure Signal/Repair” state, for an exponential amount of
`time with the mean of the mean time to repair (MTTR). Be-
`cause of the metrology type I error
`, there is a probability
`that the metrology tool generates a failure signal even though
`the process is in the good (in control) state. During any time in-
`terval
`, in which the process is actually in the good state, the
`rate at which the equipment will be declared to be in the “Failure
`Signal/Repair” state is
`.
`The above system is a description of a continuous-time
`Markov chain consisting of three states: namely, “In Control,”
`“Out of Control” and “Failure Signal/Repair.” Fig. 3 shows this
`system.
`
`Fig. 2. The concept of OEE.
`
`Fig. 3. Continuous-time Markov chain model of a metrology system.
`
`Solving the limiting probability equations of this system [6]
`result in:
`
`(1)
`
`(2)
`
`are the long-term probabilities of the process
`and
`where
`being “in control” and “out of control,” respectively.
`The process under control produces acceptable products,
`while the out-of-control process produces bad products that
`must be reworked. The faster the out-of-control state is
`detected, the faster the process is calibrated; which limits
`the amount of required rework. Therefore, the cost of a bad
`metrology practice is twofold. First, there is the cost due to the
`lost time of equipment (metrology and litho track), including
`the expenses of investment in purchasing and installing the
`machines, maintenance, footprint, etc. The second cost element
`occurs because of WIP rework, resulting in material, energy
`and labor costs. These costs are further studied in this section.
`
`C. Revenue Models
`Let
`denote the number of machines of type
`stalled in the factory. Ignoring the requirement that
`an integer, Leachman et al. [7] have shown
`
`that are in-
`must be
`
`where 720 is the number of hours in a month. The left-hand
`side of this equation expresses the total machine-hours required
`
`(3)
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 3 of 10
`
`

`

`JULA et al.: COMPARING THE ECONOMIC IMPACT OF ALTERNATIVE METROLOGY METHODS
`
`457
`
`is the designed
`wafers per month;
`to process
`output capacity and
`is the mature die yield.
`is the
`total theoretical process time per wafer (expressed in hours) on
`equipment type , considering all process steps performed by
`that equipment. The right-hand side is the total machine hours
`that can be devoted to processing (at theoretical rates) consid-
`ering the achieved equipment efficiency. Assuming a revenue of
`for each wafer for the current day, the total revenue per day
`in the near future can be calculated as
`
`(4)
`
`is the
`), where the
`with (
`Replacing the
`long run probability of the process being in the good (in-control)
`state, result in
`
`Fig. 4. Different phases of a process life cycle.
`
`Revenue/Day
`
`(5)
`
`As expected, the revenue increases with the decline of
`,
`ACTM and MTTR and decreases with the decline of MTBF.
`Over the long run, where the price is declining according to
`a continuous discount factor of
`, the total revenue realized up
`to time
`(expressed in days), assuming zero start-up and pro-
`duction delays, is expressed as
`
`,
`
`(6)
`
`D. The Effect of Metrology Tools on Ramp-Up
`Up to this point, the behavior of metrology tools was con-
`sidered for mature and stable process technology. However, as
`depicted in Fig. 4, each process goes through three different
`phases: development phase where the process is first introduced,
`the ramp phase where the volume of production is increased,
`and the mature phase where the process sustains high volume
`production.
`During the development phase, the equipment is installed and
`an appropriate recipe is applied. In this phase, the process usu-
`ally does not produce any marketable product. Therefore, this
`phase is not in our interest. The process starts producing sal-
`able products in the ramp phase. In the beginning of this phase,
`equipment fails more often. After some time, the process is cal-
`ibrated, the rate of failures declines, and the process becomes
`mature.
`Here, we are interested in studying the effect of the metrology
`tools on the ramp phase. For simplicity, we approximate the
`above curve with a step function, where the process has the
`average (MTBF
`) in the development and ramp phases and
`jumps to the mature phase (MTBF
`) at time
`(Fig. 5).
`There are many factors affecting the duration of the ramp
`phase
`. Studying the behavior of these factors is beyond the
`scope of this paper. However, it is known that the ramp-up du-
`ration, especially at lithography, depends on the knowledge and
`the experience of the engineers working with the process. Part
`
`Fig. 5. A simplified process life cycle.
`
`of the experience and knowledge comes from trial and error.
`Each equipment failure contributes to the knowledge about that
`equipment/recipe. Here, we assume the time to maturity is a
`function of the number of detected problems through time. The
`more problems are found, the more experienced the staff will
`become. Finally, after
`number of trial and errors, the equip-
`ment goes to the mature state and the failure rate decreases. We
`are interested in finding the effect of metrology tools and the
`control policies on the value of
`. Changes of
`can then be
`translated to cost.
`The number of required equipment is usually planned for the
`mature case; therefore, there is some lost revenue due to the
`unsatisfied demand in the development and ramp phases. Sim-
`ilar to (3), the satisfied demand in development and ramp phase
`, assuming the mature die yield, follows
`
`(7)
`
`Here, the
`is the long-term probability of the process
`being under control during the development and ramp phases
`and follows an equation similar to (1). All of the notation in
`this section concerns the equipment performance in the devel-
`opment and ramp-up phases and is similar to the notation for
`the mature phase. Using (3) and (7), the unsatisfied demand per
`month during the development and ramp phases can be calcu-
`lated as
`
`(8)
`
`The duration and the quantity of the lost demand during the
`ramp period will result in lost revenue during this period.
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 4 of 10
`
`

`

`458
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002
`
`Considering the continuous-time Markov chain model for the
`development and ramp phases, therefore, the expected value of
`, the elapsed time for
`number of repairs, can be calculated
`as
`
`(9)
`
`The total possible revenue during the development and ramp
`phases, assuming all demands are satisfied, can be expressed as
`
`the amortized annual cost due to labor, material, and infrastruc-
`ture per wafer started.
`is the total amortized annual cost
`per wafer started. The last term captures the annual fixed cost
`and
`are the fixed labor cost and the
`of manufacturing.
`fixed space cost, respectively, that are independent of wafer start
`volume and the number of installed equipment.
`Using (1), (3) and (14), the total expenses of the machines per
`year can then be expressed as
`
`EPY(Machines)
`
`(10)
`
`Here,
`is the continuous discount factor for the exponentially
`declining sales price. The lost revenue can be calculated as
`
`The total lost revenue can be calculated as
`
`(11)
`
`(12)
`
`E. Comprehensive Revenue Model
`The comprehensive revenue model consists of the combined
`revenue obtained in the ramp phase and the mature phase. The
`total revenue obtained in the ramp phase can be expressed as
`
`(13)
`
`Given the duration of the mature phase, the total revenue
`obtained in the mature phase can be calculated by (6). The
`summation of (6) and (13) should be considered in selecting
`the metrology setup.
`The revenue models are more tailored toward the marketing
`department’s needs versus the manufacturing expenses. In other
`words, they only consider the incoming cash flow to the com-
`pany through sales. These models do not consider the outgoing
`cash flow and the expenses of the company. What if a metrology
`tool improves revenue, but the price of investment is high? How
`about the maintenance expenses and labor costs associated with
`each metrology system? These issues will be addressed by an-
`other model, called the cost model, in the following section.
`
`F. The Cost Model of Metrology Methods
`Leachman et al. [7] expressed the annual expense of a fab as
`
`(14)
`
`The first term captures the machine expenses.
`, and
`,
`are the amortized annual costs due to purchasing, labor, and
`foot-prints, respectively, per machine of equipment type .
`captures the total amortized annual cost per machine of equip-
`ment type . The second term captures the expenses related to
`the number of wafers started.
`,
`, and
`are respectively
`
`(15)
`
`The “litho” subscript represents the lithography system,
`which includes the exposure unit and the track line. The first
`term in (15) captures the effect of metrology in lithography
`costs through its effective processing time. The second term
`is the cost associated with the purchase, maintenance and the
`footprint of metrology devices. The third term captures all
`other equipment expenses in the fab.
`As discussed earlier, different metrology methods generate
`different amounts of WIP and rework. The rework consumes
`materials, energy and labor. Furthermore, the mask life, which
`is considered dependent on the number of exposures, causes the
`expenses to increase in proportion to the amount of rework. Ac-
`cording to our continuous-time Markov chain model, the total
`out-of-control machine-hours spent processing
`, the number
`of wafers in lithography to be reworked, will be:
`
`Considering (1)–(3), and (16), the total number of reworked
`wafers in lithography per month can be calculated based on the
`total monthly production rate as
`
`(16)
`
`(17)
`
`The fab total expense per year due to the number of wafers
`started includes two terms. The first term captures the expenses
`due to the reworked wafers in lithography steps. These expenses
`reflect material costs, energy, labor and masks. The second term
`includes all expenses that are functions of the number of wafers
`started. All the rework done on the other equipment sets (except
`lithography) are assumed to belong to this category. Therefore,
`the total expenses per year due to the number of wafer starts is
`
`EPY(Wafer started
`
`, are assumed to re-
`and
`The constant terms of (14),
`main unchanged after introducing different metrology methods.
`
`(18)
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 5 of 10
`
`

`

`JULA et al.: COMPARING THE ECONOMIC IMPACT OF ALTERNATIVE METROLOGY METHODS
`
`459
`
`The difference between metrology methods can be calculated
`according to (15) and (18). This difference can be presented as
`
`EPY
`
`(19)
`
`To choose the best metrology method, manufacturers should
`consider the elements involved in (19). All the costs associated
`with acquiring, installing and maintaining the litho track tools
`should be considered. Special attention should be given to the
`quality of information extracted from the metrology tools. The
`failure rate, ease of repair and the position of metrology tool in
`the system should also be considered.
`
`III. MONTE CARLO SIMULATION MODELS OF METROLOGY
`METHODS
`
`In previous sections, several analytical models were pre-
`sented for litho track systems based on some simplifying
`assumptions. There is still a need to address the issues involved
`in more complex systems arising in industrial environments.
`Appropriate models can predict the behavior of these systems
`under different scenarios and help the decision makers in
`selecting the best practices in different environments. However,
`it is very difficult to capture the behavior of complex systems
`with closed-form mathematical models, similar to those pre-
`sented in the previous section. As an alternative, we use Monte
`Carlo (MC) simulation models to study the behavior of more
`complex systems.
`In these models, the results of five 24-hour days with five
`different initial random seeds are collected for each simulation
`run. The lithography throughput is considered to be 60 wafers
`per hour. To accommodate the behavior of a robot in an
`industrial system, a buffer (with the capacity of one wafer) is
`considered before and after each station. The revenue generated
`for each model is then plotted in sets of graphs. Each point
`in these graphs is based on the information that is statistically
`collected from 60
`24
`5
`5
`36 000 simulated wafers;
`each wafer includes 100 dice with individual characteristics.
`The data are collected after a warm-up period of 50 minutes.
`SIGMA [8] simulation software was modified and used as a
`platform for generating the data and collecting the information
`for these experiments.
`The values of the parameters used in these models are either
`the estimated values in the industry or what experts would
`expect to see in emerging technologies. The experiments are
`designed to assist the manufacturers with developing similar
`models. Decision-makers could develop similar experiments
`that address their specific needs and accommodate their partic-
`ular parameter values.
`For the center working point, MTBF is 240 minutes and
`MTTR is set at 20 minutes. For this working point, five samples
`are selected from each simulated wafer. The CDs of these
`
`samples are then measured and the 3 rule is used for the cutoff
`line. It is assumed that the results of the offline, inline, and in
`situ metrology are available after approximately 30, 15, and
`2 minutes, respectively. The performances of these systems
`are analyzed with respect to variation in MTBF, MTTR,
`,
`around the center working point for each of the inline, in situ
`and offline cases. Later in this document, the effect of control
`policies, yield/revenue structures, the precision of metrology
`tools and many other parameters are investigated.
`The reference of $1000 per chip for 250-nm technology
`along with the yield/revenue structure of products determines
`the revenue per chip in these cases. Total revenues on the
`order of millions of dollars are generated per day in these
`experiments. Different parameter values would certainly result
`in different values for revenue. However, readers should keep
`in mind that the absolute value of revenue is not our interest.
`We are interested in analyzing the changes in revenue based
`on the changes in the system. The relative differences will
`provide us with a better understanding of each system and help
`us predict the behavior of similar systems in similar working
`conditions. Therefore, revenues are presented in arbitrary units
`in this document.
`First, a simple model is developed to compare the results
`of analytical models with those of MC-simulation. The as-
`sumptions in this model are consistent with the assumptions
`under which the analytical models were developed. The second
`scenario enhances the first scenario by introducing a variance
`to the process and by considering more realistic structures
`for the yield and price. In the final scenario, more realistic
`conditions are introduced to the system. Different random er-
`rors are considered for each of the inline, offline and in situ
`tools to capture the different precision associated with each
`technology. Furthermore, wider and more continuous drifts
`are considered for the process.
`
`A. Analytical Approach Versus Monte Carlo Simulation
`A MC model is designed to verify the accuracy of the results
`generated from the analytical models presented in the previous
`section. The assumptions in this model are consistent with the
`assumptions of exponential failure times and repair times under
`which the analytical models were developed. The lithography
`targets a CD of 205 nm at in-control state. It produces bad prod-
`ucts with the CD of 225 at out-of-control state. For simplicity,
`the variance of the process is ignored at this stage; in the next
`section, the variance will be introduced to the system and its
`effect will be explored.
`Our study [9] shows the consistency between the analytical
`model and MC-simulation. For example, Fig. 6 shows the ef-
`fect on revenue from reducing the time between the process and
`the metrology tool. As shown, both the analytical model and
`MC-simulation predict a similar pattern. The figure shows an
`increase in revenue by migrating from offline to inline and in
`situ technology assuming that the same quality of information
`can be obtained from different metrology tools.
`
`B. The Effects of Process Variation on Revenue
`On many products in the semiconductor industry, it is well
`known that reduction of the critical dimension results in higher
`
`Applied Materials, Inc. Ex. 1014
`Applied v. Ocean, IPR Patent No. 6,836,691
`Page 6 of 10
`
`

`

`460
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002
`
`Fig. 6. Revenue per day (arbitrary unit) versus average cycle time to metrology
`tool (ACTM) for the analytical and simulation models.
`
`Fig. 8. Revenue (arbitrary unit) versus mean of the process for different
`standard deviation.
`
`205 nm. For the rest of this document, we assume a standard
`deviation of 10 nm associated with the process, and we try to
`keep the working point at 205 nm in order to gain the max-
`imum revenue. Assuming revenue of $1000 per chip for a CD
`of 250 nm will result in a revenue of $1315 per chip for a CD of
`205 (assuming the $7/nm decline rate). Another negative effect
`of variance on revenue is due to the risk involved in the quality
`of information extracted from the product measurements.
`Consider a process with a standard deviation of 10 nm
`that is targeted to work at 205 nm but it may go to the bad
`state of 225 nm after a random time with the distribution
`. (In this document
`notates a
`normal distribution with mean
`and standard deviation .) The
`process stays in the bad state until detected by the metrology
`tool. The shutdown/repair signal is generated when the average
`of the CDs measured from the sample points exceeds the cutoff
`line threshold. The process is then shut down and all the bad
`products in WIP are sent to rework. The process will be back
`in the good state after a random repair time with distribution
`. The $7/nm decline rate is observed
`in this case and there is no revenue for the products with
`CDs more than 220 nm, reflecting tight specifications set by
`management. Fig. 9 shows the in-control and out-of-control
`cases.
`Changing the number of sample points taken from each wafer
`and adjusting the cutoff line of the control policy affects the
`type I and type II errors. Suppose
`represents the point on
`the Standard Normal distribution
`with the probability
`of upper tail equal to . Then the following equations hold
`
`(20)
`
`is
`is the number of sample points in each

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket