throbber
Barrier Properties of Titanium Nitride Films Grown by Low
`Temperature Chemical Vapor Deposition from Titanium
`
`
`
`
`
`
`
`
`Tetraiodide
`
`
`
`
`
`
`Cheryl Faltermeier, Cindy Goldberg, Michael Jones, Allan Upham, Dirk Manger, Gregory Peterson,
`Janice Lau, and Alain E. Kaloyeros
`
`
`
`
`
`
`
`
`
`
`
`
`
`New York State Center for Advanced Thin Film Technology and Department of Physics, The University at Albany,
`
`
`
`
`
`
`
`
`
`
`
`State University of New York, Albany, New York 12222, USA
`
`
`
`
`
`
`
`
`Barry Arkles
`
`
`
`
`
`
`
`
`
`Gelest, Incorporated, Tullytown, Pennsylvania 19007, USA
`
`Ajit Paranjpe®
`
`
`
`
`
`Texas Instruments, Incorporated, Dallas, Texas 75265, USA
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`ABSTRACT
`
`
`
`
`
`__ Results are presented from a systematic study of the composition, texture, and electrical properties of titanium nitride
`(TiN) films and their performanceas diffusion barrier in multilevel interconnect schemesof ultralarge scale integration
`(ULSI) computer chip device structures. The films were grown by low temperature (<450°C) inorganic chemical vapor
`deposition using titanium tetraiodide as source precursor and ammonia and hydrogen as co-reactants. The TiN films were
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`nitrogen-rich., with iodine concentrations below 2 atom percent, displayed resistivities in the range 100 to 150 pQ cm
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`depending on thickness, and exhibited excellent step coverage with better than 90% conformality in both nominal 0.45 wm,
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`3:1 aspect ratio and 0.25 wm, 4:1 aspect ratio contact structures. A comparison of the properties of chemical vapor
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`deposited (CVD) TiN with equivalent physical vapor deposited (PVD) TiN showedthat reactivity with Al-0.5 a/o Cu alloys
`
`
`
`
`
`
`
`
`
`
`
`
`
`was equivalent in both cases. In particular, a 10% increase in the Al-Cu/TiN stack sheet resistance was observed for both
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`types of TiN after a 450°C, 30 minsinter. Similarly, the characteristics of CVD tungsten and reflow plug fills were iden-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`tical on both types of TiN films. However, barrier performance for CVD TiN in aluminum and tungsten plug technologies
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`was superior to that of PVD TIN,as evidenced by lower contact diode leakage for CVD TiN in comparison with PVD TiN
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`films of equal thickness. This improved barrier performance could be attributed to a combination of factors, which
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`include the nitrogen-rich composition, higher density, and enhanced conformality of the CVD TiN phase in comparison
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`with the PVD TiN.In view of the superior step coverage and diffusion barrier characteristics, the low temperature inor-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`ganic CVD route to TiN seems to provide an adequate replacementfor conventional PVD TiN in emerging ULSI metal-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`lization interconnect schemes.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`plicity, controllability, and ability to coat large area sub-
`
`
`Introduction
`strates with excellent uniformity at industrially viable
`Titanium nitride (TIN) is a commonly used material in
`growth rates, CVD could potentially meet performance
`current integrated circuit (IC) technologies.’ Its applica-
`demands well into the 0.18 wm device technology and
`
`
`
`
`
`
`
`
`tions range from diffusion barrier and glue layer at the
`
`
`
`
`
`
`beyond.
`
`
`
`
`
`
`contact/via level to diffusion barrier and antireflection
`Early attempts at preparing CVD TiN used mostly tita-
`
`
`
`
`
`
`coating in the interconnect stack.” Such applications are
`
`
`
`
`
`
`nium tetrachloride (TiCl,) and ammonia (NH,) to yield stoi-
`
`
`
`
`
`
`
`
`madepossible by the desirable properties of TiN, includ-
`
`
`
`
`
`
`
`
`
`chiometric TiN films having good step coverage.’ The
`
`
`
`
`
`
`ing its refractory nature at elevated temperature, excellent
`impurities produced by this process, mainly chlorine, were
`
`
`
`
`
`
`
`
`mechanical, chemical, and thermal inertness, and good
`
`
`
`
`
`
`
`within 1 atomic percent (a/o). Unfortunately, the process-
`
`
`
`
`
`
`
`
`resistance to corrosion. These properties allow TiN to
`
`
`
`
`
`
`
`
`ing temperatures required to produce these films were in
`
`
`
`
`
`
`
`withstand the repeated thermal cycles use in multilevel
`
`
`
`
`
`
`
`excess of 650°C, and were thus prohibitive for use above
`
`
`
`
`
`
`
`metallization of IC devices, and makeits continued use in
`
`
`
`
`
`
`the contact level. Efforts to reduce deposition tempera-
`
`
`
`
`
`
`
`emerging subquarter micron device technologies highly
`
`
`
`
`
`
`
`tures included plasma-assisted CVD (PACVD)of TiCL, ina
`
`
`
`
`
`
`
`
`desirable. However, the suitability of TiN for such appli-
`
`
`
`
`
`
`
`mixture of nitrogen and hydrogen,electron cyclotron res-
`
`
`
`
`
`
`
`
`
`cationsis only possible if it is deposited with good confor-
`
`
`
`
`
`
`
`
`
`onance (ECR) plasma CVD of TiCl, in a nitrogen atmos-
`
`
`
`
`
`
`
`mality in subquarter micron features, leading to void-free
`
`
`
`
`
`phere,’ and atmospheric pressure CVD (APCVD) using
`
`
`
`
`
`
`
`
`plug formation, reduced junction leakage, and low con-
`
`
`
`
`
`
`
`
`TiCl, and isopropylamine or tert-butylamine as the co-
`
`
`
`
`
`
`
`tact/via resistance. This requirement is further complicat-
`
`
`
`
`
`
`
`
`
`
`reactant.? These efforts led to an appreciable reduction in
`
`
`
`
`
`
`
`
`ed by a strong pushto reducebarrier thickness, as device size
`process temperatures to within the acceptable range of
`
`
`
`
`
`
`
`
`
`
`
`
`
`shrinks, to provide thecross section of aluminum or copper
`
`
`
`
`
`
`
`about 350 to 500°C. However, various reliability issues
`
`
`
`
`
`
`
`conductor required for optimum device performance.
`
`
`
`
`
`
`ranging from poor step coverage (30 to 70%) in somecases,
`
`
`
`
`
`
`
`
`Conventional physical vapor deposition (PVD)routes to
`to high resistivities (> 200 ~ em) and chlorine contami-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`TiN, with their inherent line of sight type deposition,
`
`
`
`
`
`
`
`
`
`nation above several atomic percent, in other cases, pre-
`
`
`
`
`
`
`
`appear to have reached their maximum useful lifetime,
`vented their incorporation in the IC process flow.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`even with the addition of special features such as collima-
`There are several recent reports on metallorganic CVD
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`tors.2 Modified PVD processes,
`including high density
`
`
`
`
`
`
`
`
`(MOCVD)of TiN from dialkylamino derivatives of titani-
`
`
`
`
`
`
`
`
`plasma sputtering, appear to provide acceptable near term
`
`
`
`
`
`
`
`um of the type Ti(NR,),, where R is a methyl or ethyl
`
`
`
`
`
`
`
`solutions, at least for the 0.25 ~m device generation.**
`group.” Additional MOCVD studies involved the use of
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Chemical vapor deposition (CVD), on the other hand,
`single-source
`titanium precursors
`of
`the
`type
`
`
`
`
`
`
`
`
`
`
`
`
`
`offers a low temperature alternative which is inherently
`[TiCl,(NHR,)(NH,R)-»] and [TiCL(NR;).], and cyclopenta-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`capable of conformal metal growth. By combining sim-
`dienyl-based titanium compoundsof the type biscyclopen-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`tadieny} titanium diazide, Cp,Ti(N,), (where Cp = C,H,).”
`
`
`
`
`
`
`
`
`
`
`
`
`2 Present address: CVC Products, Inc., Rochester, New York
`These activities led to the developmentof robust and ver-
`
`
`
`
`
`
`14603, USA.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`JU. Electrochem. Soc., Vol. 144, No.3, March 1997 © The Electrochemical Society, Inc.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Tianma Exhibit 1014
`
`
`
`1002
`
`
`Page | of 7
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 1 of 7
`
`Tianma Exhibit 1014
`
`

`

`J, Electrochem. Soc., Vol. 144, No.3, March 1997 © The Electrochemical Society, Inc.
`
`1003
`
`satile MOCVD TiN processes,” with MOCVD from
`
`
`
`
`
`
`
`
`
`
`
`Ti(NEt,),, for example, yielding resistivity below 300 20
`cm, carbon contamination under 3 a/o, and step coverage
`as high as 70% in 0.35 ym device structures.
`
`
`
`
`
`The strategy espoused herein has focused, instead, on
`
`
`
`
`
`
`
`low temperature inorganic CVD of TiN from titanium
`
`
`
`
`
`
`
`
`tetraiodide (Til,). Til, was selected because the dissocia-
`
`
`
`
`
`
`
`
`tion energy of the Ti-I is relatively low, with a correspond-
`
`
`
`
`
`
`
`ing heat of formation at 298 K of —92 kcal/mol. This
`
`
`
`
`
`
`
`value is well below the heat of formation for TiCl,, name-
`
`
`
`
`
`
`
`ly, ~192 keal/mol. Accordingly, and in view of the similar
`
`
`
`
`
`
`
`
`
`chemical characteristics of the two halide chemistries, Til,
`
`
`
`
`
`
`
`
`
`
`is expected to yield TiN films in an ammonia atmosphere
`
`
`
`
`
`
`
`
`
`
`with properties and performance similar to those from
`
`
`
`
`
`
`
`
`
`TiCl, but at significantly lower temperature.
`
`
`
`
`
`
`
`Additionally, the activation energy for iodine diffusion
`
`
`
`
`
`
`
`
`
`is expected to be significantly higher than chlorine, given
`
`
`
`
`
`
`
`that I is a much heavier element than Cl. This expectation
`
`
`
`
`
`is based on work on the interaction of fluorine and chlo-
`
`
`
`
`
`
`rine with (111) Si,“ and which showed that the barrier for
`
`
`
`
`
`
`
`
`chlorine penetration into the Si surface is much larger
`
`
`
`
`
`
`
`
`than that for fluorine. This behavior was a consequence of
`
`
`
`
`
`
`
`
`
`
`the larger size, and hence ionicity and resulting coulomb
`
`
`
`
`
`
`
`
`
`interaction, of the chlorine atom in comparison with fluo-
`
`
`
`
`
`
`
`
`rine. This property has important implications for the
`
`
`
`
`
`
`
`
`effects of residual halide incorporation in the deposited
`
`
`
`
`
`
`
`
`TiN, with 1 a/o iodine requiring appreciably higher ther-
`
`
`
`
`
`
`
`
`mal energy to diffuse out of TiN lattice than its chlorine
`
`
`
`
`
`
`
`counterpart. This observation is also supported by the
`
`
`
`
`
`
`
`findings presented herein, including diode leakage meas-
`
`
`
`
`
`
`
`
`urements, and which indicate that 2 a/o iodine did not
`
`
`
`
`
`
`
`
`
`
`affect TIN performanceas diffusion barrier/adhesion pro-
`
`
`
`
`
`
`
`moter.
`
`
`
`
`
`
`Thepaperis the secondin a series of reports on the iden-
`
`
`
`
`
`
`
`
`
`tification and optimization of a low temperature inorgan-
`
`
`
`
`
`
`ic CVD process for TiN from Til,."* The first report has
`focused on the development of a low-temperature,in situ,
`
`
`
`
`
`
`
`
`
`
`
`sequential CVD process for the deposition of ultrathin
`
`
`
`
`
`
`
`Ti/TiN bilayers for applications in device ULSI technolo-
`
`
`
`
`
`
`
`
`
`gies. In this article, results are presented from a systemat-
`
`
`
`
`
`
`
`
`ic study of the microstructural, microchemical, and elec-
`
`
`
`
`
`
`
`trical characteristics of TiN films, as well as their
`
`
`
`
`
`
`
`performance in 0.45 ym, 3:1 aspect ratio contact/plug
`
`
`
`
`
`
`
`
`
`device structures. The findings from this study are com-
`
`
`
`
`
`
`
`pared and contrasted with those from PVD TiN grown by
`
`
`
`
`
`
`
`
`conventional PVD techniques.
`
`
`
`
`
`
`
`
`
`
`
`
`Experimental
`
`
`
`
`
`
`
`
`
`
`
`The CVD reactor used for inorganic CVD of TiN from
`Til, was a custom-made,8 in. wafer, cold wall system, and
`wasdescribed in detail elsewhere.” Briefly, it consisted of
`a parallel plate plasma configuration with the wafer locat-
`
`
`
`
`
`
`
`
`
`ed on the bottom electrode, which was resistively heated
`
`
`
`
`
`
`
`
`
`using an externally positioned boron nitride-coated
`
`
`
`
`
`
`
`
`graphite heater. The top active electrode was formed in the
`
`
`
`
`
`
`
`
`shape of a circular meshto allow unrestricted flow of the
`
`
`
`
`
`
`
`
`reactants through a cone-shaped shower head located
`
`
`
`
`
`above the mesh. Pumping was achieved through eight
`
`
`
`
`
`
`
`
`
`ports which were symmetrically distributed below the
`
`
`
`
`
`
`
`
`
`
`heater chuck to permit uniform gas flow distribution. A
`
`
`
`
`
`
`standard pressure based sublimator was used to store the
`
`
`
`
`
`
`
`solid Til, precursor, which was delivered to the reaction
`
`
`
`
`
`
`zone with the assistance of a hydrogen carrier gas.
`
`
`
`
`
`
`
`
`Ammonia reactant flow was delivered through a sideline
`
`
`
`
`
`
`
`
`directly to the reaction zone. A soft hydrogen plasma pre-
`
`
`
`
`
`
`
`
`deposition clean was performed on all samples prior to
`
`
`
`
`
`
`
`
`deposition. However, no plasma was used during actual
`
`
`
`
`
`
`
`deposition. Several types of wafers were processed, as
`
`
`
`
`
`
`
`
`
`shownin Table I, using the process conditions summarized
`
`
`
`
`
`
`
`
`in Table II.
`
`
`
`
`
`
`
`
`
`
`
`
`
`Methodsof Analysis
`
`
`
`
`
`
`
`
`
`
`The TiN film microchemical, microstructural, and elec-
`trical properties were thoroughly analyzed at the New
`
`
`York State Center for Advanced Thin Film Technology by
`
`
`
`
`
`
`
`
`
`Page 2 of 7
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Table |. Type and numberof wafers used in the study.
`
`
`
` Wafer type Numberof wafers Thickness CVD TiN
`
`
`
`
`
`
`
`
`
`
`
`1000 A PETEOS/Si
`900 + 50A
`2
`30 +2A
`2
`Si
`
`
`
`
`
`230+5A_
`2
`Si
`0.45 2m contact
`620+ 12A
`3
`0.45 pm contact
`230 +5 A,
`2
`
`
`
`
`
`0.45 4m contact
`300 + 10A
`1
`
`
`
`0.45 zm contact
`400+ 10A
`1
`
`
`
`0.45 pm contact/n*
`30 +2A
`3
`
`
`
`
`
`salicide junction
`;
`
`
`
`
`
`0.45 ~m contact/n*
`230 +5A
`
`
`
`
`
`
`;
`salicide junction
`
`
`
`
`
`
`0.45 4m contact/n*
`400 +10A
`
`
`
`
`salicide junction
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`3
`
`3
`
`
`
`
`
`
`
`
`
`
`
`
`
`TableII. Summary of processing parameters.
`
`Process parameter
`Value
`
`
`
`
`
`
`Source precursor
`Wafer temperature
`
`
`Source temperature
`Reactor pressure
`Ammoniareactant flow
`
`Hydrogen carrier gas flow
`
`In situ predeposition
`
`Hydrogen Plasma clean
`
`
`
`
`Til,
`430°C
`140°C
`0.3 torr
`600 sccm
`30 sccm
`13.56 Mhz@
`0.08 W/cm?
`
`
`
`
`
`
`
`
`
`x-ray photoelectron spectroscopy (XPS), Rutherford
`
`
`
`backscattering (RBS), x-ray diffraction (KRD), four-point
`resistivity probe, and cross-sectional scanning electron
`microscopy (CS-SEM). In these studies, the results were
`
`
`
`
`standardized using a pure TiN standard deposited by col-
`
`
`
`
`
`limated sputtering at SEMATECH. Additional composi-
`
`
`
`
`
`tional and structural characterization was also carried out
`
`
`
`
`
`
`
`independently at SEMATECH.
`
`
`
`
`
`
`
`Film composition was determined using XPS and RBS.
`
`
`
`
`
`XPS was carried out on a Perkin-Elmer PHI 5500 Multi-
`
`
`
`
`
`
`
`Technique System. A magnesium x-ray source at 15 kV
`
`
`and 300 W wasused. High resolution XPS scans employed
`
`
`
`
`
`
`
`a 23.50 eV pass energy to resolve shifts from particular
`
`
`
`
`
`
`
`
`
`photoelectron peaks.
`
`
`
`
`
`
`
`
`In addition to performing compositional characteriza-
`
`
`
`
`
`
`
`
`
`tion, RBS was also employed, in conjunction with CS-
`
`
`
`
`
`
`
`
`
`SEM,
`for thickness and growth rate measurements.
`
`Rutherford backscattering (RBS) spectra were taken using
`
`
`
`
`
`a 2 MeV He* beam, and calibrated with bulk samples of
`
`
`
`
`
`
`
`
`gold and carbon, while CS-SEM investigations employed
`
`
`
`
`
`
`a Zeiss DSM 940 microscope using a 20 keV primary elec-
`
`
`
`
`
`
`tron beam. Four-point probe resistivity measurements
`
`
`
`
`
`
`
`
`
`used a Signatone four-point probe. Deposition rates were
`
`
`
`
`
`
`defined as T/t, where T is film thickness andt is run time.
`
`
`
`
`
`
`
`
`
`
`Run time was measuredstarting form the instant when the
`
`
`
`
`
`precursor was actually being delivered to the reactor.
`
`
`
`
`
`
`X-ray diffraction was done on a Scintag XDS 2000 x-ray
`
`
`
`
`
`
`
`
`
`
`
`diffractometer. X-rays were generated with a Cu K, x-ray
`
`
`
`
`
`
`
`
`
`source at typical tube operating power of 1.8 kW, which
`
`
`
`
`
`
`
`corresponds to 40 mA and 45 kV. XRD spectra were col-
`
`
`
`
`
`
`
`
`lected both in normal incidence and 5° grazing angle
`
`
`
`
`
`
`
`
`geometries. The resulting TIN XRD patterns were com-
`
`
`
`
`
`
`
`
`
`pared with the sputtered TiN standard provided by
`
`
`
`
`
`
`
`
`
`
`SEMATECH anda TiN reference pattern from the stan-
`
`
`
`
`
`
`
`
`dard JCPDS powderdiffraction file (PDF).
`
`
`
`
`
`
`
`A complete evaluation of the physical properties and
`
`
`
`
`
`
`
`barrier characteristics of the TiN films was carried out at
`
`
`
`
`
`
`
`
`the Semiconductor Process and Device Center of Texas
`
`
`
`
`
`Instruments. The microchemical and electrical measure-
`
`
`
`
`
`
`
`ments performed above at the Albany Center were repeat-
`
`
`
`
`
`
`
`
`
`ed as an independent checking mechanism of TiN film
`
`
`
`
`
`
`
`properties. The parameters measured and corresponding
`
`
`
`
`
`methods of analysis are summarized in Table III and dis-
`
`
`
`
`
`
`
`
`cussed in more detail in the following sections. In these
`
`
`
`
`
`
`
`
`studies, the results were standardized using a pure TiN
`
`
`
`
`
`standard deposited by collimated sputtering at Texas
`
`
`
`
`
`
`
`
`
`Instruments.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 2 of 7
`
`

`

`1004
`
`J. Electrochem. Soc., Vol. 144, No.3, March 1997 © The Electrochemical Society, Inc.
`
`Table IV. Summary of results.
`TableIll. Methodsof analysis.
`
`
`
`
`
`
`
`
`
`
`
`
`
`Method
`Parameter
`Parameter
`Value
`
`
`
`
`
`
`
`
`
`Four-pgint probe (900 A TiN on
`Deposition rate (A/min)
`200
`Sheet resistance
`.
`Nonuniformity (%, 1c)
`1000 A PETEOS/Si)
`5 to 8
`
`
`Step coverage (%)
`Profilometry (900 A TiN on 1000 A
`90
`PETEOS/Si) RBS
`.
`Resistivity (uM cm)
`100 to 150
`
`
`Density Weight gain (900ATiN on 1000 A Stoichiometry (Ti:N) 1:1.06
`
`
`
`
`
`
`
`
`
`5
`PETEOS/Si)
`<2 a/o iodine
`Impurities
`.
`
`
`
`
`
`
`RBS and XPS(900 A TiN on 1000 A
`<1 a/o oxygen
`
`
`
`
`
`
`
`
`PETEOS/Si)
`1.1 times that of PVD TiN
`Density
`
`
`
`
`CS-SEM (230, 400, 900 A TiN on
`Golden
`Color
`
`
`
`
`
`
`
`
`0.45 and 0.25 wm contact)
`Zero
`Stability (AR,/2 h, %)
`
`
`230, 400, 600 A TiN on
`Similar to PVD TiN
`CVD W plugfill
`
`
`
`
`
`
`
`
`
`
`0.45 2m contact
`Similar to PVD TiN
`Al reflow plugfill
`
`
`
`
`Used 30 to 230 A CVD TINonSi:
`Similar to PVD TiN
`Reactivity with Al-Cu
`
`
`
`
`
`
`(i) Deposited 600 A Al-0.5 a/o Cu
`Barrier property
`Superior to PVD TiN
`
`
`
`
`
`
`
`then sintered at 450 to 550°C for
`
`
`
`
`
`
`
`
`
`
`
`30 to 60 min. (ii) Measured pre-
`
`
`
`
`
`
`
`
`and post Al-Cu sheet resistance.
`
`
`
`
`
`
`
`
`
`
`
`
`
`RBS analysis of TiN on Si also supported the XPS
`(ii) After sintering, metal was
`
`
`
`
`
`
`
`
`
`
`results, and indicated the presence of about 2 a/o iodine
`stripped and optical microscopy
`
`
`
`
`
`
`used to quantify pit density in Si.
`
`
`
`
`
`incorporation, as displayed in Fig. 4.
`
`(iv) Samples on Si, plasma TEOS,
`
`
`
`
`The composition of the CVD produced TiN films was
`
`
`
`
`
`
`
`
`
`PVD TiN were similarly processed
`
`
`
`
`nitrogen-rich in comparison with the SEMATECHcolli-
`and used for comparison.
`
`
`
`
`
`
`
`
`
`
`
`
`mated PVD TiN. Levels of iodine in the films are not
`CS-SEM (230, 400, 900 A TiN on
`
`
`
`
`
`
`
`
`
`
`
`0.45 ym contact
`
`
`
`
`
`30 to 400 A TiN on 0.45 pm
`
`
`
`
`contact/n* salicide junction
`
`
`
`30 to 400 A TiN on 0.45 pm
`
`
`
`
`
`contact/n* salicide junction
`
`
`
`
`
`
`
`
`
`
`Thickness
`
`Composition
`
`Step coverage
`
`Barrier attack
`
`Barrier properties
`
`
`
`
`
`
`W plugfill
`Contact resistance
`
`Diode leakage
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`100
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Sputter Time (mins.}
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`(%)
`AtomicConcentration
`
`
`
`
` NormalizedIntensity(arbitraryunits) a
`
`
`
`NormalizedIntensity(arbitraryunits)
`
`
`
`
`
`
`
`
`
`
`The 900 A CVD TIN films on plasmatetraethylorthosil-
`icate (PETEOS) were analyzed for sheet resistance, sheet
`thickness, density and composi-
`resistance uniformity,
`tion/stoichiometry. Sheet resistance was measured using a
`
`
`
`
`
`
`
`
`four-point probe, thickness was calculated using a pro-
`
`
`
`
`
`
`
`filometer, density was determined from weight gain
`
`
`
`
`
`measurements, and composition/stoichiometry was
`
`
`
`
`
`
`determined from RBS analysis. For the RBS analysis, a
`
`
`
`
`
`
`
`PVD (conventional sputtering) TiN film was used as
`indicates a stoichiometric TiN phase
`Fig. 1. XPS depth profiling
`
`
`
`
`
`
`control for comparison.
`with iodine concentration below 2 a/o. No oxygen or other conta-
`
`
`
`
`
`
`
`
`The 30 to 230 A CVD TINfilms on Si were used for bar-
`minants were found within the detection limitsofXPS.
`
`
`
`
`
`
`
`
`
`
`rier studies. A 6000 A AI-0.5 a/o Cu film was sputter
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`deposited on the CVD TiN andthe stack was subjected to
`
`
`
`
`sintering at 450 to 550°C for 30 to 60 min. Sheet resistance
`
`
`
`
`
`
`
`
`
`
`
`
`
`measurements prior to and after sintering were used to
`
`
`
`
`
`
`
`
`
`
`quantify the reaction between Al-Cu and CVD TIN. After
`
`
`
`
`
`
`
`
`
`
`sintering was complete, the metal was stripped and the
`
`
`
`
`
`
`
`
`
`
`
`density of pits in the silicon was measured on an optical
`
`
`
`
`
`
`
`
`microscope to quantify the quality of the CVD TiN barri-
`
`
`
`
`
`
`
`
`er. 6000 A Al-0.5 a/o Cu films deposited directly on Si,
`
`
`
`
`
`
`
`
`plasma TEOS, and PVD TiN were also subjected to simi-
`
`
`
`
`
`
`
`
`
`
`lar sinter cycles for comparison. The patterned contact
`
`
`
`
`
`
`
`
`
`wafers were used for step coverage measurements and to
`
`
`
`
`
`
`
`
`
`
`evaluate nucleation, barrier attack, and plug-fill during
`
`
`
`
`
`
`
`
`
`CVD tungsten deposition. Finally, the contacts on the n*
`
`
`
`
`
`
`
`salicided junctions were used to measure contact resist-
`
`
`
`
`
`
`
`
`ance and contact-induced diode leakage.
`
`
`
`
`
`
`
`
`
`
`
`
`Results
`
`
`
`
`
`
`
`The TiN films thus produced were metallic, mirror-like,
`
`
`
`
`and gold colored. Their physical properties are summa-
`rized in Table IV and discussed in more detail below. In
`particular, deposition nonuniformityis slightly high, but is
`
`
`
`
`
`
`
`an equipment not a process issue, given that a custom-
`
`
`
`
`
`
`
`made, nonoptimum,reactor was used in the study.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Film composition.—The composition of the films was
`
`
`
`
`
`
`
`
`
`examined by XPS and RBS. XPS depth profiling, as
`
`
`
`
`
`
`
`shown in Fig. 1, indicated a nitrogen-rich TiN,; phase
`with iodine concentration below 2 a/o. No oxygen or other
`
`
`
`
`
`
`contaminants were found within the detection limits of XPS
`
`
`
`
`
`
`
`
`(~1a/o). Additionally, XPS high resolution spectra of ele-
`
`
`
`
`
`
`
`
`mental core levels yielded a Ti 2p;,. core peak at 455.1 eV,
`
`
`
`
`
`
`
`
`as displayed in Fig. 2, corresponding to a pure TiN phase.
`
`
`
`
`
`
`
`
`Figure 3 shows the N 1s binding energy at 397.3 eV, also
`
`
`
`
`
`
`
`indicating a pure TiN phase.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 3 of 7
`
`
`
`De3
`
`402
`
`398
`Binding Energy (eV)
`
`394
`
`» S °
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Fig. 3. The XPS N 1s binding energy at 397.3 eV indicates a pure
`
`
`TiN phase.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`470
`
`466
`
`458
`462
`Binding Energy (eV)
`
`454
`
`450
`
`
`
`
`
`Fig. 2. XPS high resolution spectrum of Ti 2p,,. indicates a core
`
`
`
`
`
`peak at 455.1 eV corresponding to a pure TiN phase.
`
`
`
`
`
`
`
`—T
`390
`
`
`10
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`& a ~
`
`Page 3 of 7
`
`

`

`J. Electrochem. Soc., Vol. 144, No. 3, March 1997 © The Electrochemical Society,inc.
`
`1005
`
`Units)
`Intensity(Arbitrary
`
`
`10 oO
`
`
`
`NormalizedYield
`
`iS]So
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`100
`
`200
`
`300
`Channel
`
`500
`
`600
`
`Fig. 4. RBS analysis of TiN on Si sup
`indicates the incorporation of about 2 a
`
`
`
`
`rts the XPS results, and
`0 iodine.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`expected to pose any reliability problems, such as Si
`wormhole formation and metal corrosion. This assessment
`is attributed to the higher activation energy for iodinedif-
`fusion as compared with chlorine, given that I is much
`
`
`
`
`
`
`
`
`heavier than Cl. Accordingly, it is expected that 1 a/o
`
`
`
`
`
`
`iodine might require appreciably higher thermal energy to
`
`
`
`
`
`
`
`
`
`diffuse out of the TiN lattice than its chlorine counterpart,
`
`
`
`
`
`
`
`
`
`thus posingless of a reliability problem. This assumption
`
`
`
`
`
`
`
`
`
`is supported by the findings presented herein and which
`
`
`
`
`
`
`
`demonstrate that TiN barrier integrity and device perfor-
`
`
`
`
`
`
`
`
`
`mance are not compromisedby2 a/o residualiodinelevels.
`
`
`
`
`
`
`
`It is also in agreement with similar work reported by
`
`
`
`
`
`
`
`
`Yokoyama et al. on the mobility of chlorine in CVD TiN
`
`
`
`
`
`
`
`produced from the reaction of TiCl, and NH.”
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Film texture—Figure 5 shows a typical XRD spectrum
`
`
`
`
`
`
`
`
`
`
`of a CVD TiNfilm. The spectrum exhibited reflections cor-
`
`
`
`
`
`
`responding to 20 = 42.34° (111) and 61.98 (220), with the
`(111) orientation exhibiting the highest diffraction inten-
`
`
`
`
`
`
`sity. Interestingly, the XRD spectrum displayed in Fig. 6
`
`
`
`
`
`
`
`
`from SEMATECH'’s sputter-deposited TiN film showed a
`
`
`
`
`
`
`
`
`
`different XRD texture, with the (200) orientation showing
`
`
`
`
`
`
`the strongest diffraction peak. Interestingly, Yokoyamaetal.
`
`
`
`
`
`
`
`
`also observed textural variations between CVD and PVD
`
`
`
`
`
`
`TiN.”
`
`
`
`
`
`
`
`The textural variations observed might havesignificant
`
`
`
`
`
`
`
`implications for the barrier characteristics and associated
`
`
`
`
`
`
`
`differences in the peformance of CVD and PVD grown
`films. Additionally, it has been shown that the texture of
`
`
`
`
`
`
`the TiN film can significantly affect that of the overlaying
`
`
`
`
`
`
`aluminum film. Primarily, predominantly (200) textures
`
`
`
`
`
`
`
`
`TiN leads to the formation of similarly textured alu-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Intensity(ArbitraryUnits)
`
`30
`
`40
`
`0
`
`60
`
`70
`
`Angle 2@ (degrees)
`
`Fig. 5. Typical XRD spectrum of a CVD TiN film. The diffraction
`peak locations and intensities are in good agreement with those
`‘om a standard TiN powder sample,indicating that the TiN film is
`
`
`polycrystalline.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 4 of 7
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`30
`
`40
`
`60
`50
`Angle 26 (degrees)
`Fig. 6. XRD spectrum of a sputter-deposited TiN film.
`
`70
`
`
`
`
`
`
`
`
`
`
`
`
`minum, while (111) oriented TiN produces an identical
`aluminum orientation. This effect is important since pre-
`dominantly (111) oriented aluminum exhibits enhanced
`electromigration resistance and increased mean time to
`
`
`
`
`
`
`
`failure (MTTF) underelectromigration stress conditions in
`
`
`
`
`
`
`
`comparison with its (200) counterpart.’ Additional stud-
`
`
`
`
`
`ies are underway to study these effects and will be report-
`
`
`
`
`
`
`ed in a subsequent publication.
`
`
`
`
`
`
`
`
`
`
`
`
`Aggressive structure fill and resistivity—Figure 7 dis-
`
`
`
`
`
`
`
`
`
`
`plays typical CS-SEM micrographs of TiN step coverage
`
`
`
`in aggressive device trench structures, with nominal fea-
`ture size of 0.45 xm, 3:1 aspect ratio. As can be seen, step
`
`
`
`
`
`coverage is excellent, with 90% conformality observed in
`
`
`
`
`
`
`
`the structures examined. Interestingly, highly conformal
`
`
`
`
`
`
`
`TiN coverage was achieved across a wide process window,
`
`
`
`
`
`
`
`
`
`
`
`
`as demonstrated in Fig. 8 which shows 90% TiN step cov-
`
`
`
`
`
`
`
`erage in 0.25 wm, 4:1 aspect ratio structures. These sam-
`
`
`
`
`
`ples were processed at a substrate temperature of 425°C,
`
`
`
`
`
`
`
`
`source temperature of 140°C, reactor pressure of 0.5 Torr,
`
`
`
`
`
`
`
`
`
`
`and hydrogen carrier gas and ammonia reactant flowsof,
`
`
`
`
`
`
`
`
`
`respectively, 30 and 400 sccm. The values observed are sig-
`
`
`
`
`
`
`
`
`nificantly higher than those that can be obtained using
`
`
`
`
`
`
`
`
`collimated PVD TiN. Film resistivity ranged from 100 20
`
`
`
`
`
`
`
`
`em for 1000 A films up to 150 u cm for 100 A films.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Barrier properties—The density of the film is high, 1.1
`
`
`
`
`
`
`
`
`times that of the collimated PVD TiN as tested by Texas
`
`
`
`
`
`
`
`
`
`
`
`
`
`Instruments, and the films have a strong golden color.
`Films are stable in air and showed noevidenceof oxida-
`
`
`
`
`
`
`
`
`tion, even after prolonged exposureto air. Plug filling with
`
`
`
`
`
`
`
`
`
`
`CVDtungsten and Ai reflow are similar for vias lined with
`
`
`
`
`
`
`
`
`CVD TiN or PVD TIN.Reactivity with Al-0.5% Cu during
`
`
`
`
`
`
`
`
`
`
`a 450°C, 30 min forminggas sinter wassimilar to the reac-
`
`
`
`
`
`
`
`
`
`tivity of PVD TiN. The sheet resistance increase upon sin-
`
`
`
`
`
`
`
`
`
`
`tering is marginally lower for CVD TiN compared to PVD
`
`
`
`
`
`
`
`
`
`TiN, as observed in Fig. 9. The various splits indicate that
`
`
`
`
`
`
`
`
`
`
`
`the sheet resistance increase is due to interaction between
`
`
`
`
`
`
`
`
`
`the Al-Cu and TiN rather than between Al-Cu and Si.
`
`
`
`
`
`
`
`
`
`After a 550°C, 60 minsinter, splits 1, 2, and 4 show pitting
`
`
`
`
`
`
`
`
`
`
`of the Si surface indicative of barrier failure. However the
`
`
`
`
`
`
`
`
`density of pits is maximum for the case of no barrier, and
`
`
`
`
`
`
`
`
`
`is minimum for the CVD TIN barrier.
`
`
`
`
`
`
`
`
`
`
`
`Superiority of the CVD TiN barrier can also be gauged
`
`
`
`
`
`
`
`
`
`from the contact-induced diode leakage for a diode with
`
`
`
`
`
`
`
`
`
`
`
`250,000 contacts (Fig. 10). The diode leakage characteris-
`
`
`
`
`
`
`tics for a 230 A CVD TINfilm are equivalent to those for a
`
`
`
`
`
`
`
`
`
`500 A PVDTIN film. Increasing the CVD TiN thickness to
`
`
`
`
`
`
`
`
`400 A decreases the leakage further. There is no apprecia-
`
`
`
`
`
`
`
`ble difference in diode leakage for block diodes with few
`
`
`
`
`
`
`
`
`
`
`
`
`contacts except for the case of 30 A CVD TiN which
`
`
`
`
`
`
`
`
`
`
`appearsto be too thin, or possibly not even continuous, to
`
`
`
`
`
`
`
`
`
`yield a reliable measurement (Fig. 11). Additionally, the
`
`
`
`
`
`
`
`
`
`wider dispersion in the diode leakage current for the 400 A
`
`
`
`
`
`
`
`
`
`
`TiN film is attributed to a larger than typical thickness
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 4 of 7
`
`

`

`1006
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`J. Electrochem. Soc., Vol. 144, No. 3, March 1997 © The Electrochemical Society, Inc.
`
`Faeoe
`568 ne
`
`Fig. 7. Typical CS-SEM micrograph of TiN step coverage in 0.45 um, 3:1 aspect ratio contacts.
`
`
`
`
`
`
`
`
`
`variation across the specific wafer used in those measure-
`ments. Accordingly, the worst leakage value corresponded to
`a spot on the wafer where the TiN wasthinner than 400 A.
`
`
`
`
`
`
`
`
`Discussion and Conclusions
`
`
`
`
`
`
`
`The results discussed above demonstrate that the low
`
`
`
`
`
`
`
`
`
`
`
`
`temperature inorganic CVD route is a viable approach for
`
`the deposition of TiN for applications as barrier layer and
`
`
`
`
`
`
`adhesion promoter in emerging subquarter micron device
`technologies. The TiN films thus produced weregold col-
`
`
`
`
`
`
`
`ored, stoichiometric, and exhibited metallic conductivity,
`
`
`
`
`
`
`
`
`
`withresistivities in the range of 100 to 150 pO cm, depend-
`
`
`
`
`
`
`ing on film thickness. Microchemical analyses showed that
`
`
`
`
`
`
`
`
`the films were free from oxygen or carbon contamination,
`
`
`
`
`
`within the detection limits of XPS, with iodine concentra-
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Fig. 8. Typical CS-SEM mi-
`crograph of TiN step coverage
`in 0.25 pm, 4:1 aspect ratio
`contacts.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 5 of 7
`
`6o6e131
`
`|e) ee on eee
`
`
`
`
`
`
`
`
`
`
`tions below 2 a/o. Such levels of iodine concentrations are
`not expected to causereliability problems in device oper-
`ation. This expectation is based on the assumption that
`iodine is significantly heavier than chlorine and will thus
`
`
`
`
`
`
`
`
`
`require higher activation energy for diffusion out of the
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`TiN matrix. The diode leakage data presented herein
`seems to also support this assessment.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`A systematic evaluation wasalso carried out of the bar-
`
`
`
`
`
`
`
`rier characteristics of CVD TiN using PVD TINas baseline
`material. The data showed that reactivity with Al-0.5 a/o
`
`
`
`
`
`Cu alloys was equivalent in both cases, with the CVD TiN
`
`
`
`
`
`
`
`
`
`film exhibiting a marginally better performance as com-
`
`
`
`
`
`
`
`
`
`
`pared to its PVD counterpart. Similarly, the characteris-
`
`
`
`
`
`
`
`
`tics of CVD tungst

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket