throbber

`Houman Homayoun
`
`
`
`
`
` Page 1 of 21
`
`Houman Homayoun
`Email: hhomayou@gmu.edu
`Web: http://ece.gmu.edu/~hhomayou/
`https://nsfchest.org/
`Lab: ASEEC: Accelerated, Secure, and Energy-Efficient Computing Lab
`Department of Electrical and Computer Engineering
`Department of Computer Science (Courtesy Appointment)
`Department of Information Sciences and Technology (Courtesy Appointment)
`George Mason University
`Office: 3223, Engineering Building, Phone: (703) 993-5430
`
` §
`
`
`EDUCATION
`§ Postdoc
`Department of Computer Science and Engineering, University of California, San Diego
`Mentor: Prof. Dean Tullsen
`§ PhD
`
`
`
`
`
`Department of Computer Science, University of California, Irvine.
`Ø Thesis: Beyond Memory Cells for Leakage and Temperature Control in SRAM-
`based Units, the Peripheral Circuits Story.
`Advisors: Prof. Alex Veidenbaum, Prof. Jean-Luc Gaudiot, Prof. Fadi Kurdahi
`§ Master of Applied Science
`
`
`Electrical and Computer Engineering Department, University of Victoria, Canada.
`Ø Thesis: Using Lazy Instruction Prediction to Reduce Processor Wakeup Power
`Dissipation.
`§ Bachelor of Science
`
`
`
`Electrical and Computer Engineering Department, Sharif University of Technology.
`EMPLOYMENT
` Associate Professor, George Mason University, Department of Electrical and
`Computer Engineering, Courtesy Appointment with the Department of Computer
`Science, Courtesy Appointment with the Department of Information Sciences and
`Technology
`§ Advisory Committee, Research and Technology Commercialization (R&TC),
`Cybersecurity working group, Commonwealth of Virginia
`§ Assistant Professor, George Mason University, Department of Electrical and Computer
`Engineering, Courtesy Appointment with the Department of Computer Science,
`Courtesy Appointment with the Department of Information Sciences and Technology.
`§ Board of Advisory Member, BroadPak Corporation, Santa Clara, California, USA.
`§ NSF/CCC-CRA Computing Innovation Fellow, University of California San Diego,
`Department of Computer Science and Engineering (Mentor: Dean M. Tullsen)
`§ Graduate Research Assistant, University of California, Irvine, Department of
`Computer Science (Advisors: Alex Veidenbaum, Jean-Luc Gaudiot and Fadi Kurdahi)
`§ Design Architect, Novelics Inc., Aliso Viejo, California, USA.
`§ Researcher Assistant, McMaster University, Canada, Department of Electrical and
`Computer Engineering.
`§ Graduate Research Assistant, University of Victoria, Canada, Department of Electrical
`and Computer Engineering.
`§ Research Assistant, Sharif University Technology, Tehran, Electronic Research Center.
`GRANTS
`
`Sponsored Research: Total $7,295,000, GMU Portion: $5,339,000, My Share: $4,395,000.
`
`§ “Planning IUCRC George Mason University: Center for Hardware and Embedded System
`Security and Trust (CHEST)” https://nsfchest.org/
`
` Sept. 2010-Aug. 2012
`
`
`
`
` Sept. 2006-Sept. 2010
`
`
`September 2003-March 2005
`
`
`
`October 1998-May 2003
`
`
`
`
`August 2018-present
`
`
`
`May 2018 - present
`
`Aug. 2012-August 2018
`
`July 2012-Present
`Sept. 2010-Aug. 2012
`
`Sept. 2006-Sept. 2010
`
`Jan. 2007-Oct. 2008
`Oct. 2005-Apr. 2006
`
`Sept. 2003-Mar. 2005
`
`Oct. 2002-Jun. 2003
`
`
`
`2018-2023
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 1
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 2 of 21
`
`NSF IUCRC, (PI), $15,000 for 2017-2018 planning and $750,000 (expected) over 5 years
`for center expenses during phase I.
`Role: PI and Center Director on GMU site, A collaborative effort composed of George Mason
`University, Northeastern University, University of Connecticut, University of Texas at Dallas,
`University of Virginia, and Wright State University to establish the first NSF/AFRL center on
`HW and Embedded Systems Security and Trust.
`§ “Obfuscated Logics to Enhance Security and Prevent Reverse Engineering”
`DARPA MTO Office, (PI), $1,800,000. ($600K fab cost to GF), PM: Kerry Bernstein
`Role: Team lead on design and fabricating obfuscated logics in 14nm with GlobalFoundries.
`§ “Mobilizing the Micro-Ops: Securing Processor Architectures via Context Sensitive Decoding”
`DARPA MTO Office, SSITH program (PI on GMU site), Total: $1,200,000. GMU share
`($400,000), PM: Linton Salmon
`Role: Leading the team to detect HW vulnerabilities in out-of-order processors
`§ “Evolution of Computer Vision for Low Power Devices, Breaking its Power Wall and
`Computational Complexity”
`NSF CSR-CNS, (Co-PI), $500,000.
`Role: Developing an approximate Iterative Convolutional Neural Network coprocessor that
`supports approximation in memory and logic.
`§ “3D-Split of Obfuscation and Authentication of logic”
`DARPA MTO Office, (Co-PI), $495,000. PM: Ken Plaks
`Role: Developing 3D-SOUL secure-compiler for cell, route and FSM obfuscation.
`§ “Persistence and Extraction of Digital Artifacts from Embedded Systems”
`NIST, National Cybersecurity Center of Excellence,
`(Co-PI), $75,000.
`Role: Establishing the persistence of digital artifacts on embedded systems through JTAG
`analysis.
`§ “Hybrid Spin Transfer Torque-CMOS Technology to Prevent Design Reverse Engineering”
`DARPA MTO Office, (PI), $349,000. PM: Kerry Bernstein
`Role: Directing the project to design and deploy new circuit methods to build reconfigurable
`logics to enhance performance and power efficiency.
`§ “Heterogeneous Ultra Low Power Accelerator for Wearable Biomedical Computing”
`NSF CSR-CNS, (PI), Total $500,000, GMU portion $288,000.
`Role: Directing the project to design and deploy new circuit methods to build reconfigurable
`logics to enhance performance and power efficiency.
`§ “A Novel Biomechatronic Interface Based on Wearable Dynamic Imaging Sensors”
`NSF CPS – CNS, (Co-PI) $995,000.
`Role: Designing a heterogeneous architecture for computing intensive biomedical application,
`Compare with state-of-the-art heterogeneous platforms such as TI OMAP and Nvidia Tegra.
`§ “Enhancing the Security on Embedded Automotive Systems”
`General Motors, (Co-PI) $261,000.
`Role: Hacking the CAN Bus Network of GM Cars.
`§ “Inter-core Selective Resource Pooling in a 3D Chip Multiprocessor”
`NSF CI Fellow Award, NSF 1019343/CRA Sub Award CIF-B-68, (PI), $280,000.
`
`Equipment Support from Industry
`§ Nvidia Corporation: 2 Tesla K40 GPU for CNN training, $9,560
`§ Xilinx Corporation, 12 Xilinx ZYNQ board for HW accelerated computer vision, $5,940

`Intel Corporation, 20 Intel Galileo and Intel Edison board for wearable computing, $2,170
`
`RESEARCH INTEREST
`§ Computer System Cybersecurity (Current)
`o Online malware detection
`o Adversarial machine learning
`o Side-channel processor architecture defense and attack
`o Detecting and containing malware epidemic in IoT network
`
`
`
`
`
`
`
`2017-2020
`
`
`2018-2021
`
`
`
`2017-2020
`
`
`
`
`
`
`2018-2019
`
`
`2016-2017
`
`
`
`
`
`
`
`2015- 2017
`
`
`
` 2015- 2018
`
`
`
` 2013- 2018
`
`
`
` 2013- 2016
`
`
` 2010- 2012
`
`
`
` 2017
` 2016
` 2015
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 2
`
`

`

`
`
`
`
` Page 3 of 21
`
`
`Houman Homayoun
`o Reverse engineering
`§ Big Data Computing (Current)
`o Algorithms for energy-efficient acceleration of Big Data
`o Deep machine learning and data mining acceleration on heterogeneous platforms
`o Applied machine learning for cloud workload management, scheduling and tuning
`o Emerging big data application benchmarking and characterization on heterogeneous architectures
`o Mapping data and model parallel big data frameworks to heterogeneous accelerator architectures
`§ Heterogeneous Architecture Design and Management (Current)
`o Design space exploration of FPGA+CPU architecture for emerging big data frameworks
`o Scheduling and resource management in heterogeneous multicore CPU+FPGA architectures
`o Accelerator design for wearable biomedical applications
`o 3D dynamic heterogeneous architecture design
`§ Emerging Memory Technologies (Current)
`o Emerging DRAM architectures in 3D (HMC, Wide I/O) for big data applications
`o Non-volatile logic and memory design
`§ Power and Thermal Management
`o Power/thermal and reliability issue in 3D architecture
`o Power management in emerging non-volatile memories
`o Power and energy optimization in VLSI circuits
`o Thermal management in emerging technologies such as 3D
`o Reliability-aware memory design
`o Dynamic power/thermal management in multi/many-core systems
`o Energy efficiency and power management in enterprise datacenter
`
`PUBLICATIONS
`
`Publication Summary:
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Topic
`
`Conference/Journal
`
`Computer Security
`
`Applied Machine Learning
`
`Big Data Computing
`
`Resource Management
`
`Number of
`Publications
`14
`
`23
`
`26
`
`56
`
`CHES, DAC, TODAES, ASPDAC, IOLTS, ISVLSI,
`ISQED, ICCD, DATE, CASES, ICCAD
`ASPDAC, CASES, DAC, DATE, ICCD, ISQED, IISWC,
`FCCM, ICCAD, CODES-ISSS, CCGRID, ASAP,
`GLSVLSI, ASPDAC, ICCD, TVLSI
`CCGRID, SoCC, TSMSCS, TOMPECS, TECS, JPDC,
`JPDC, TMSCS, ICCD, DAC, IISWC, ISPASS, FCCM, Big
`Data, CF, SAMOS, IGSC, DATE
`ISCA, HPCA, TODAES, TVLSI, JETC, TVLSI, TVLSI,
`MR, TECS, CAL, JSC, TVLSI, LCTES, SAMOS, IGSC,
`GLSVLSI, ICCD, DATE, DAC
`
`
`
`
`
`
`
`
`
`
`Conference/Journal Number of
`Publications
`7
`7
`3
`4
`11
`2
`1
`1
`1
`3
`
`DAC
`DATE
`ISLPED
`ASPDAC
`ICCD
`TODAES
`TOMPECS
`CHES
`ICCAD
`FCCM
`
`Conference/Journal Number of
`Publications
`1
`1
`1
`9
`8
`3
`2
`1
`3
`2
`
`ISCA
`HPCA
`ISPASS
`TVLSI
`GLSVLSI
`TECS
`JETC
`LCTES
`CF
`Big Data
`
`Conference/Journal Number of
`Publications
`5
`2
`3
`7
`2
`1
`1
`3
`3
`2
`
`CASES
`CODES-ISSS
`IISWC
`ISQED
`TMSCS
`SoCC
`CAL
`ISVLSI
`ISCAS
`CCGRID
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 3
`
`

`

`
` Page 4 of 21
`
`
`
`
`
`Houman Homayoun
`Journal Papers
`
`(24) “Programmable Gates Using Hybrid CMOS-STT Design to Prevent IC Reverse Engineering”
`Theodore Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, Houman Homayoun
`ACM Transactions on Design Automation of Electronic Systems, Special issue on Internet of Things
`System Performance, Reliability, and Security, 2018 (Accepted)
`
`(23) “Optimal Allocation of Computation and Communication in an IoT Network”
`Abhimanyu Chopra, Hakan Aydin, Setareh Rafatirad, Houman Homayoun
`ACM Transactions on Design Automation of Electronic Systems, Special issue on Internet of Things
`System Performance, Reliability, and Security, 2018 (Accepted)
`
`(22) “Hardware Accelerated Mappers for Hadoop MapReduce Streaming”
`Katayoun Neshatpour, Maria Malik, Houman Homayoun
`IEEE Transactions on Multi-Scale Computing Systems, 2018 (Accepted)
`
`(21) “System and Architecture Level Characterization of Big Data Applications on Big and Little Core
`Server Architectures”
`Maria Malik, Katayoun Neshatpour, Setareh Rafatirad, Houman Homayoun
`ACM Transactions on Modeling and Performance Evaluation of Computing Systems, 2018
`(Accepted)
`
`(20) “Low Overhead CS-based Heterogeneous Framework for Big Data Acceleration”
`Amey Kulkarni, Colin Shea, Tahmid Abtahi, Houman Homayoun and Tinoosh Mohsenin
`ACM Transaction on Embedded Computing Systems, 2018 (Accepted)
`
`(19) “Specialized Hardware-Supported Malware Detection Using Machine Learning Techniques”
`Hossein Sayadi, Nisarg Patel and Houman Homayoun
`IEEE Transactions on Dependable and Secure Computing, 2019 (under review).
`
`(18) “Big vs Little Core for Energy-Efficient Hadoop Computing”
`Maria Malik; Katayoun Neshatpour; Setareh Rafatirad; Rajiv V Joshi; Houman Homayoun
`Elsevier Journal of Parallel and Distributed Computing, Special Issue on Systems for Learning,
`Inferencing, and Discovering (SLID), 2017 (Accepted)
`
`(17) “Smart Grid on Chip: Work Load-Balanced On-Chip Power Delivery”
`Divya Patahk, Houman Homayoun, Ioannis Savidis
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems, DOI: 10.1109/
`TVLSI.2017.2699644, 2017
`
`(16) “Energy-Efficient Acceleration of MapReduce Applications Using FPGAs”
`Katayoun Neshatpour; Maria Malik; Houman Homayoun
`Elsevier Journal of Parallel and Distributed Computing, Special Issue on Systems for Learning,
`Inferencing, and Discovering (SLID) (Accepted)
`
`(15) “An Energy Efficient Programmable Manycore Accelerator for Personalized Biomedical
`Applications”
`Adam Page, Adwaya Kulkarni, Nasrin Attaran, Ali Jafari, Maria Malik, Houman Homayoun, and
`Tinoosh Mohsenin
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Accepted)
`
`(14) “Heterogeneous HMC+DDRx Memory Management for Performance-Temperature Trade-offs”
`Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Tinoosh Mohsein, Houman
`Homayoun
`ACM Journal on Emerging Technologies in Computing, 2017. (Accepted)
`
`(13) “Sparse Regression Driven Mixture Important Sampling for Memory Design”
`Maria Malik, Rajiv Joshi, Rouwaida Kanj, Shupeng Sun, Houman Homayoun, Tong Li
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Accepted)
`
`(12) “Hadoop Workloads Characterization for Performance and Energy Efficiency Optimizations on
`
`
`
`
`TODAES
`
`
`
`
`
`TODAES
`
`
`
`
`TMSCS
`
`
`
`TOMPECS
`
`
`
`
`TECS
`
`
`
`TDSC
`
`
`
`JPDC
`
`
`
`TVLSI
`
`
`
`
`
`
`
`
`JPDC
`
`
`
`
`TVLSI
`
`
`
`JETC
`
`
`
`TVLSI
`
`
`
`TMSCS
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 4
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 5 of 21
`
`
`
`Microservers”
`Maria Malik, Katayoun Neshatpour, Avesta Sasan, Setareh Rafatirad, Houman Homayoun
`IEEE Transactions on Multi-Scale Computing Systems (Accepted)
`
` (11) “ElasticCore: A Dynamic Heterogeneous Platform with Joint Core and Voltage/Frequency Scaling”
`Mohammad Khavari Tavana, Mohammad Hossein Hajkazemi, Divya Pathak, Ioannis Savidis,
`Houman Homayoun
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Accepted)
`
` (10) “Enhancing Power, Performance, and Energy-efficiency in Chip Multiprocessors Exploiting Inverse
`Thermal Dependence”
`Katayoun Neshatpour, Wane Burleson, Amin Khajeh, Houman Homayoun
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems (Accepted)
`
` (9) “Reliability analysis of spin transfer torque based look up tables under process variations and NBTI
`aging”.
`Ragh Kuttappa, Houman Homayoun, Hassan Salmani, Hamid Mahmoodi.
`Elsevier Microelectronics Reliability Journal, Volume 62, p 156-166, 2016.
`
` (8) “Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation”.
`Abbas Banaiyanmofrad, Houman Homayoun, Nikil Dutt.
`ACM Transactions on Embedded Computing. 14, no. 2 (2015): 32.
`
` (7) “Resistive Computation: A Critique”.
`Hamid Mahmoodi, Sridevi Srinivasan Lakshmipuram, Manish Arora, Yashar Asgarieh, Houman
`Homayoun, Bill Lin and Dean M.Tullsen.
`IEEE Computer Architecture Letters, DOI 10.1109/L-CA.2013.23, 2014.
`
`(6) “Multi-Copy Cache: A Highly Energy Efficient Cache Architecture”.
`Arup Chakraborty, Houman Homayoun, Amin Khejah, Nikil Dutt, Ahmed Eltawil, Fadi Kurdahi.
`ACM Transactions on Embedded Computing Systems (TECS), 2014.
`
`(5) “Variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy
` Cache for Fine Grain Voltage Scaling”.
`Avesta Makhzan, Kiarash Amiri, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi.
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2010 (TVLSI). VOL. 20, Issue
`4, pp: 630-642. April 2012.
`
`(4) “MZZ-HVS: Multi Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce
` Leakage Power in On-Chip SRAM Peripheral Circuits”.
`Houman Homayoun, Avesta Sasan, Alex Veidenbaum, Hsin-Cheng Yao, Shahin Golshan, Payam
`Heydari.
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems, (TVLSI), VOL. 19, NO. 12,
`DECEMBER 2011.
`
`(3) “On Leakage Power Optimization in Clock Tree Networks for ASICs and General-Purpose
` Processors”
` Houman Homayoun, Shahin Golshan, Eli Bozorgzadeh, Alex Veidenbaum, Fadi Kurdahi.
`Elsevier Journal of Sustainable Computing, Volume 1, Issue 1, March 2011, Pages 75-87 (Invited
`paper).
`
`(2) “Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation”.
`Avesta Makhzan, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi.
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2010 (TVLSI), VOL. 19, NO. 9,
`SEPTEMBER 2011.
`
`(1) “Reducing Power in All Major CAM and SRAM Based Processor Units via Centralized, Dynamic
`Resource Size Management”.
`Houman Homayoun, Avesta Sasan, Alex Veidenbaum, Jean-Luc Gaudiot.
`IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2010 (TVLSI), VOL. 19, NO. 11,
`NOVEMBER 2011.
`
`
`
`
`
`
`
`TVLSI
`
`
`
`TVLSI
`
`
`
`MR
`
`
`
`
`TECS
`
`
`
`CAL
`
`
`
`TECS
`
`
`
`TVLSI
`
`
`
`
`TVLSI
`
`
`
`
`
`
`
`JSC
`
`
`
`
`TVLSI
`
`
`
`
`TVLSI
`
`
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 5
`
`

`

`
` Page 6 of 21
`
`
`
`
`
`Houman Homayoun
`Conference Papers
`2019
`
`(96) “SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance
`Beyond The SAT Attacks”
`Kimia Zamiri Azar, Hadi Mardani Kamali, Avesta Sasan, Houman Homayoun
`IACR Conference on Cryptographic Hardware and Embedded Systems (CHES 2019)
`
`(95) “2SMaRT: A Two-Stage Machine Learning-Based Approach for Run-Time Specialized Hardware-
`Assisted Malware Detection”
`Hossein Sayadi, Hosein Mohammadi Makrani, Sai Manoj Pudukotai Dinakarrao, Tinoosh Mohsenin,
`Avesta Sasan, Setareh Rafatirad and Houman Homayoun
`Design, Automation & Test in Europe, (DATE 2019)
`
`(94) “Lightweight Node-level Malware Detection and Network-level Malware Confinement in IoT
`Networks”
`Sai Manoj Pudukotai Dinakarrao, Hossein Sayadi, Hosein Mohammadi Makrani, Cameron Nowzari,
`Setareh Rafatirad and Houman Homayoun
`Design, Automation & Test in Europe, (DATE 2019)
`
`(93) “XPPE: a cross platform performance estimation of OpenCV kernels on FPGA devices”
`Hosein Makrani, Sara Bondi, Houman Homayoun (Invited Talk)
`24th Asia and South Pacific Design Automation Conference, (ASPDAC 2019)
`
` (92) “IR-ATA: IR Annotated Timing Analysis, A Flow for Closing the Loop Between PDN design, IR
`Analysis & Timing Closure”
`Ashkan Vakil, Houman Homayoun, Avesta Sasan
`24th Asia and South Pacific Design Automation Conference, (ASPDAC 2019)
`
`(91) “Exploiting Energy-Accuracy Trade-off through Contextual Awareness in Multi-Stage Convolutional
`Neural Networks”
`Katayoun Neshatpour, Houman Homayoun , Avesta Sasan (Invited Talk)
`20th International Symposium on Quality of Electronic Design, (ISQED 2019)
`
`
`
`
`
`CHES
`
`
`
`
`DATE
`
`
`
`
`DATE
`
`
`
`
`
`ASP-DAC
`
`
`
`ASP-DAC
`
`
`
`
`ISQED
`
`
`
`
`
`
`DAC
`
`
`
`
`DATE
`Acceptance
`rate 24%
`
`
`ICCAD
`
`
`
`CASES
`
`
`
`
`
`
`
`
`
`2018
`
`(90) “Ensemble Learning for Hardware-Based Malware Detection: A Comprehensive Analysis and
`Classification”
`Hossein Sayadi, Nisarg Patel, Sai Manoj P. D., Avesta Sasan, Setareh Rafatirad, Houman
`Homayoun
`ACM/IEEE 55th Design Automation Conference. (DAC 2018).
`
`(89) “ICNN: An Iterative Implementation of Convolutional Neural Networks to Enable Energy and
`Computational Complexity Aware Dynamic Approximation”
`Katayoun Neshatpour, Farnaz Behnia, Houman Homayoun, Avesta Sasan
`Design, Automation & Test in Europe, (DATE 2018).
`
`(88) “Efficient Utilization of Adversarial Training towards Robust Machine Learners and its Analysis”.
`Sai Manoj P D, Sairaj Amberkar, Setareh Rafatirad, Houman Homayoun.
`IEEE/ACM International Conference on Computer Aided Design, Special Session (ICCAD 2018).
`
`(87) “Hardware-Assisted Security: Understanding Security Vulnerabilities, Emerging Attacks and
`Existing Defenses”
`Sai Manoj Pudukotai Dinakarrao, Ferdinand Brasser, Lucas Davi, Abhijitt Dhavlle, Tommaso
`Frassetto, Setareh Rafatirad, Ahmad-Reza Sadeghi, Hossein Sayadi, and Shaza Zeitouni, Houman
`Homayoun
`In Proceedings of the 2018 International Conference on Compilers, Architecture, and Synthesis for
`Embedded Systems, CASES 2018.
`
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 6
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 7 of 21
`
`(86) “Power Conversion Efficiency-Aware Mapping of Multithreaded Applications on Heterogeneous
`Architectures: A Comprehensive Parameter Tuning”
`Hossein Sayadi, Divya Pathak, Ioannis Savidis, Houman Homayoun
`23rd Asia and South Pacific Design Automation Conference, (ASPDAC 2018)
`
`(85) “Design Space Exploration for Acceleration of Machine Learning Applications”.
`Katayoun Neshatpour, Houman Homayoun.
`The 26th IEEE International Symposium on Field-Programmable Custom Computing Machines,
`(FCCM 2018).
`
`(84) “Main-Memory Requirements of Big Data Applications on Commodity Server Platform”.
`Hosein Mohammadi Makrani, Setareh Rafatirad and Houman Homayoun.
`18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, (CCGRID 2018).
`
`(83) “Energy-aware and Machine Learning-based Resource Provisioning of In-Memory Analytics on
`Cloud”.
`Hosein Mohammadi Makrani, Hossein Sayadi, Devang Motwani, Han Wang, Setareh Rafatirad,
`Houman Homayoun.
`ACM Symposium on Cloud Computing 2018 (SoCC 2018)
`
`(82) “Comprehensive Assessment of Run-Time Hardware-Supported Malware Detection Using General
`and Ensemble Learning”.
`Hossein Sayadi, Sai Manoj, Setareh Rafatirad, Houman Homayoun.
`ACM International Conference on Computing Frontiers (CF 2018).
`
`(81) “Understanding and Benchmarking
`the Capabilitiesand Limitations of SAT Solvers
`DefeatingObfuscation Schemes”
`Shervin Roshanisefat, Harshith Thirumala, Houman Homayoun, Kris Gaj, Avesta Sasan
`24th IEEE International Symposium on On-Line Testing and Robust System Design. (IOLTS 2018)
`
`(80) “Architectural Considerations for FPGA Acceleration of Machine Learning Applications in
`MapReduce”.
`Katayoun Neshatpour,Hosein Mohammadi Mokrani, Avesta Sasan, Hassan Ghasemzadeh, Setareh
`Rafatirad, Houman Homayoun.
`International Symposium on Systems, Systems, Architectures, Modeling and Simulation. SAMOS
`XVIII 2018, Samos, Greece.
`
`(79) “A comprehensive Memory Analysis of Data Intensive Workloads on Server Class Architecture”.
`Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj Pudukotai Dinakarra, Setareh Rafatirad,
`Houman Homayoun.
`The International Symposium on Memory Systems (MEMSYS 2018).
`
`(78) “Compressive Sensing on Storage Data: An Effective Solution to Alleviate I/O Bottleneck in Data
`Intensive Workloads”.
`Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj Pudukotai Dinakarrao, Setareh Rafatirad,
`Houman Homayoun.
`The 29th Annual IEEE International Conference on Application-specific Systems, Architectures and
`Processors (ASAP 2018)
`
`(77) “LUT-Lock: A Novel LUT-based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware
`Protection”.
`Hadi Mardani Kamali, Kimia Zamiri Azar, Kris Gaj, Houman Homayoun, Avesta Sasan.
`IEEE Computer Society Annual Symposium on VLSI, (ISVLSI 2018).
`
`in
`
`
`2017
`(76) “MeNa: A Memory Navigator for Modern Hardware in Scale-out Environment”
`Hosein Makrani, Houman Homayoun
`2017 IEEE International Symposium on Workload Characterization, (IISWC 2017).
`
`(75) “Co-Locating and Concurrent Fine-Tuning MapReduce Applications on Microservers for Energy
`Efficiency”
`
`
`ASP-DAC
`Acceptance
`rate 31%
`
`
`FCCM
`
`
`
`CCGRID
`
`
`SoCC
`
`
`
`
`
`CF
`
`
`
`
`IOLTS
`
`
`
`SAMOS
`
`
`
`
`
`
`MEMSYS
`
`
`
`
`ASAP
`
`
`
`
`
`ISVLSI
`
`
`
`
`IISWC
`Acceptance
`rate 27%
`
`IISWC
`
`
`
`
`
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 7
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 8 of 21
`
`Maria Malik, Dean Tullsen, Houman Homayoun
`2017 IEEE International Symposium on Workload Characterization, (IISWC 2017).
`
`(74) “Memory Requirements of Hadoop, Spark, and MPI Based Big Data Applications on Commodity
`Server Class Architecture”
`Hosein Makrani, Houman Homayoun
`2017 IEEE International Symposium on Workload Characterization, (IISWC 2017).
`
`(73) “Analyzing Hardware Based Malware Detectors”
`Nisarg Patel and Houman Homayoun
`ACM/IEEE 54th Design Automation Conference. (DAC 2017).
`
`(72) “Big vs Little Core for Energy-Efficient Hadoop Computing”
`Maria Malik, Katayoun Neshatpour, Tinoosh Mohsenin, Avesta Sasan and Houman Homayoun
`Design, Automation & Test in Europe, (DATE 2017).
`
`(71) “LESS: Big Data Sketching and Encryption on Low Power Platform”
`Amey Kulkarni, Colin Shea, Houman Homayoun and Tinoosh Mohsenin
`Design, Automation & Test in Europe, (DATE 2017).
`
`(70) “Spatial and Temporal Scheduling of Clock Arrival Times for IR Hot-Spot Mitigation, Reformulation
`of Peak Current Reduction”
`Bhoopal Gunna, Lakshmi Bhamidipati, Houman Homayoun and Avesta Sasan
`ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED 2017.
`
`(69) “A Power Delivery Network and Cell Placement Aware IR-Drop Mitigation Technique: Harvesting
`Unused Timing Slacks to Schedule Useful Skews”
`Lakshmi Bhamidipati, Bhoopal Gunna, Houman Homayoun, Avesta Sasan
`IEEE Computer Society Annual Symposium on VLSI, (ISVLSI 2017).
`
`(68) “Machine Learning-based Approaches for Energy Efficiency Prediction and Scheduling in Composite
`Cores Architectures”
`Hossein Sayadi, Avesta Sasan, Houman Homayoun
`IEEE International Conference on Computer Design (ICCD 2017). Runner Up for Best Paper
`Award.
```
`(67) “Understanding the Role of Memory Subsystem on Performance and Energy-Efficiency of Hadoop
`Applications” (Invited Talk)
`Hosein Makrani, Shahab Tabatabaei, Setareh Rafatirad and Houman Homayoun
`The Eighth International Green and Sustainable Computing Conference, (IGSC 2017).
`
`(66) “Scheduling Multithreaded Applications onto Heterogeneous Composite Cores Architectures”
`Hossein Sayadi, Houman Homayoun
`The Eighth International Green and Sustainable Computing Conference, (IGSC 2017).
`
`(65) “Work Load Scheduling For Multi Core Systems With Under-Provisioned Power Delivery”
`Divya Pathak, Houman Homayoun, Ioannis Savidis
`27th ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI 2017).
`
`
`2016
`(64) “Big Data Analytics on Heterogeneous Accelerator Architectures” (Invited Talk)
`Katayoun Neshatpour, Avesta Sasan, Houman Homayoun
`IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis,
`(CODES+ISSS) 2016.
`
`(63) “Dynamic Single and Dual Rail Spin Transfer Torque Look Up Tables with Enhanced Robustness
`under CMOS and MTJ Process Variations”
`Aliyar Attaran, Hassan Salmani, Houman Homayoun and Hamid Mahmoodi
`IEEE International Conference on Computer Design (ICCD), 2016.
`
`(62) “Hybrid STT-CMOS Designs for Reverse-Engineering Prevention”
`Ted Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, Houman Homayoun
`
`Acceptance
`rate 27%
`
`IISWC
`Acceptance
`rate 27%
`
`
`DAC
`Acceptance
`rate 22%
`DATE
`Acceptance
`rate 24%
`DATE
`Acceptance
`rate 24%
`
`ISLPED
`
`
`
`
`ISVLSI
`
`
`
`ICCD
`Acceptance
`rate 29%
`
`
`
`IGSC
`
`
`
`
`IGSC
`
`
`GLSIVLSI
`
`
`
`CODES-
`ISSS
`Acceptance
`rate 23%
`
`ICCD
`
`
`DAC
`Acceptance
`rate 21%
`
`
`
`
`
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 8
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 9 of 21
`
`ACM/IEEE 53rd Design Automation Conference. (DAC 2016).
`
`(61) “Characterizing Hadoop Applications on Microservers for Performance and Energy Efficiency
`Optimizations”
`Maria Malik, Setareh Rafatirad, Rajiv Joshi, Houman Homayoun
`IEEE International Symposium on Performance Analysis of Systems and Software, (ISPASS) 2016.
`
`(60) “Comparative Analysis of Hybrid Magnetic Tunnel Junction and CMOS Logic Circuits”.
`Darya Almasi, Houman Homayoun, Hassan Salmani, Hamid Mahmoodi
`29th IEEE International System-on-Chip Conference (SOCC), 2016.
`
`(59) “Heterogeneous Chip Multiprocessor Architectures for Big Data Applications”. (Invited Talk)
`Houman Homayoun
`ACM International Conference on Computing Frontiers (CF) 2016.
`
`(58) “Low-Power ManyCore Accelerator for Personalized Biomedical Applications”(Best Paper Award)
`Adam Page, Nasrin Attaran, Colin Shea, Houman Homayoun, Tinoosh Mohsenin
`ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI) 2016.
`
`(57) “Architecture Exploration for Energy-Efficient Embedded Vision Applications: From General
`Purpose Processor to Domain Specific Accelerator”.
` Maria Malik, Farnoud Farahmand, Paul Otto, Nima Akhlaghi, Tinoosh Mohsenin, Siddhartha Sikdar,
`Houman Homayoun.
`IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2016.
`
`(56) “Load Balanced On-Chip Power Delivery for Average Current Demand”
`Divya Pathak, Mohammad Hajkazemi, Mohammad Tavana, Houman Homayoun and Ioannis Savidis
`ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI) 2016.
`
`(55) “Reliability Analysis of Spin Transfer Torque Based Look Up Tables Under Process Variations”
`Ragh Kuttappa, Hassan Salmani, Hamid Mahmoodi, Houman Homayoun
`IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
`
`(54) “Energy Efficient On-Chip Power Delivery with Run-Time Voltage Regulator Clustering”
`Divya Pathak, Mohammad Khavari Tavana, Mohammad Hossein Hajkazemi, Houman Homayoun
`and Ioannis Savidis
`IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
`
` (53) "Big Biomedical Image Processing Hardware Acceleration: A Case Study for K-means and Image
`Filtering ". (Invited Special Session Talk)
`Katayoun Neshatpour, Arezou Koohi, Maria Malik, Setareh Rafatirad, Avesta Sasan, Houman
`Homayoun
`IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
`
`(52) "Preventing Design Reverse Engineering with Reconfigurable Spin Transfer Torque LUT Gates".
`Ted Winograd, Hasan Salmani, Hamid Mahmoodi, Houman Homayoun
`17th International Symposium on Quality of Electronic Design, (ISQED) 2016.
`
`2015
`(51) "System and Architecture Level Characterization of Big Data Applications on Big and Little Core
`Server Architectures".
`Maria Malik, Setareh Rafatirad, Houman Homayoun
`IEEE BigData Conference 2015.
`
`(50) "Energy-Efficient Acceleration of Big Data Analytics Applications Using FPGAs".
`Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Avesta Sasan, Houman Homayoun.
`IEEE BigData Conference 2015.
`
`(49) "Wide I/O or LPDDR? Exploration and Analysis of Performance, Power and Temperature Trade-offs
`of Emerging DRAM Technologies in Embedded MPSoCs".
`Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana and Houman Homayoun
`IEEE International Conference on Computer Design (ICCD), 2015.
`
`
`
`
`ISPASS
`Acceptance
`rate 24%
`
`SOCC
`
`
`
`CF
`
`
`GLSVLSI
`Acceptance
`rate 27%
`
`ISVLSI
`
`
`
`
`GLSVLSI
`Acceptance
`rate 27%
`
`ISCAS
`
`
`ISCAS
`
`
`
`
`ISCAS
`
`
`
`
`
`ISQED
`
`
`
`BigData
`Acceptance
`rate 17%
`
`BigData
`Acceptance
`rate 17%
`
`ICCD
`Acceptance
`rate 28%
`
`
`
`
`Patent Owner Saint Regis Mohawk Tribe
`Ex. 2030, p. 9
`
`

`

`
`Houman Homayoun
`
`
`
`
`
` Page 10 of 21
`
`(48) "Big Data on Low Power Cores Are Low Power Embedded Processors a Good Fit for the Big Data
`Workloads?".
`Maria Malik and Houman Homayoun
`IEEE International Conference on Computer Design (ICCD), 2015.
`
`(47) "Realizing Complexity-Effective On-Chip Power Delivery for Many-Core Platforms by Exploiting
`Optimized Mapping".
`Mohammad Khavari Tavana, Divya Pathak, Mohammad Hossein Hajkazemi, Maria Malik, Ioannis
`Savidis and Houman Homayoun
`IEEE International Conference on Computer Design (ICCD), 2015.
`
`(46) "Power and Performance Characterization, Analysis and Tuning for Energy-efficient Edge Detection
`on Atom and ARM Based Platforms".
`Paul Otto, Maria Malik, Nima Akhlaghi, Rebel Sequeira, Houman Homayoun and Siddhartha Sikdar
`IEEE International Conference on Computer Design (ICCD), 2015.
`
`(45) “Accelerating Big Data Analytics Using FPGAs”.
`Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Houman Homayoun.
`The 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines,
`2015.
`
`(44) “ElasticCore: Enabling Dynamic Heterogeneity with Joint Core and Voltage/Frequency Scaling”.
`Mohammad Khavari Tavana, Mohammad Hajkazemi, Divya Pathak, Ioannis Savidis, Houman
`Homayoun
`ACM/IEEE 52TH Design Automation Conference. (DAC 2015).
`
`(43) “Just-in-time component-wise power and thermal modeling”
`Shah Mohammad Faizur Rahman, Qing Yi, Houman Homayoun
`2015 ACM International Conference on Computing Frontiers, (CF 2015).
`
`(42) “Accelerating Machine Learning Kernels in Hadoop Using FPGAs”.
`Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Houman Homayoun
`15th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, 2015.
`
`(41) “Adaptive Bandwidth Management for Performance-Temperature Trade-offs in Heterogeneous
`HMC+DDRx Memory”.
`Mohammad Hossein

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket