throbber
Remote plasma etching of silicon nitride and silicon dioxide
`using NF3/O2 gas mixtures
`B. E. E. Kastenmeier,a) P. J. Matsuo, and G. S. Oehrleinb)
`Department of Physics, The University at Albany, State University of New York, Albany, New York 12222
`J. G. Langan
`Air Products and Chemicals, Inc., Allentown, Pennsylvania 18195
`共Received 8 May 1997; accepted 2 January 1998兲
`The etching of silicon nitride (Si3N4) and silicon dioxide (SiO2) in the afterglow of NF3 and
`NF3 /O2 microwave discharges has been characterized. The etch rates of both materials increase
`approximately linearly with the flow of NF3 due to the increased availability of F atoms. The etch
`rate of Si3N4 is enhanced significantly upon O2 injection into the NF3 discharge for O2 /NF3 ratios
`of 0.3 and higher, whereas the SiO2 etch rate is less influenced for the same flow ratios. X-ray
`photoelectron spectroscopy of processed Si3N4 samples shows that the fluorine content of the
`reactive layer, which forms on the Si3N4 surface during etching, decreases with the flow of O2, and
`instead oxidation and nitrogen depletion of the surface occur. The oxidation of the reactive layer
`follows the same dependence on the flow of O2 as the etch rate. Argon actinometry and quadrupole
`mass spectrometry are used to identify reactive species in the etching of both materials. The atomic
`fluorine density decreases due to dilution as O2 is added to the discharge. The mass spectrometer did
`not detect NFx species (x⫽1 – 3) at any discharge parameter setting, which indicates the near
`complete dissociation of NF3. Nitric oxide 共NO兲 was detected by mass spectrometry, and the NO
`density shows the same dependence on O2 flow as the Si3N4 etch rate and the surface oxidation.
`Based on this observation, we propose that the etch rate enhancement for Si3N4 is due to the
`adsorption of the NO on the Si3N4 surface, followed by the formation of N2 with a N atom from the
`surface. The O atom can then attach to the same surface site, contributing to the oxidation. © 1998
`American Vacuum Society. 关S0734-2101共98兲00604-6兴
`
`I. INTRODUCTION
`
`The minimization of feature sizes forces the semiconduc-
`tor industry to constantly improve fabrication processes. For
`example, ion induced damage to oxide layers is not accept-
`able as the gate oxide thickness approaches 50 Å or less.
`Therefore, mask materials are increasingly stripped down-
`stream from a remote plasma source, avoiding the bombard-
`ment of the surface with energetic ions, which is typical for
`a direct plasma process. Also, reactors for chemical vapor
`deposition need to be cleaned periodically in order to ensure
`a constant high quality of the thin films deposited.1 Cur-
`rently,
`plasma
`enhanced
`chemical
`vapor
`deposition
`共PECVD兲 chambers are often cleaned in situ, which can re-
`sult in damage to chamber parts because of the presence of
`both fluorine and ion bombardment on electrodes. Low-
`pressure chemical vapor deposition 共LPCVD兲 tubes are
`cleaned using a wet chemistry, e.g., hydrofluoric acid for the
`cleaning of LPCVD Si and Si3N4 tubes.
`A procedure that minimizes tool downtime and chamber
`damage, avoids the disposal of wet chemicals, and poten-
`tially enables a higher level of cleanliness, is remote plasma
`cleaning. The reactive afterglow of etching gases can be used
`to strip deposited layers off reactor walls and to clean the
`
`a兲Electronic mail: bk7752@csc.albany.edu
`b兲Electronic mail: oehrlein@cnsibm.albany.edu
`J. Vac. Sci. Technol. A 16„4…, Jul/Aug 1998
`
`2047
`
`chamber. This method is applicable in CVD reactors for Si,
`SiO2, Si3N4, and tungsten compounds.1–3
`The etching characteristics of fluorocarbon gases like CF4
`and C2F6 have been widely studied. These gases are used for
`reactor cleaning, but since etching often occurs together with
`the formation of an undesired fluorocarbon polymer layer,
`they require the addition of O2. A clean alternative to those
`gases is nitrogen trifluoride, NF3, and mixtures of NF3 with
`O2. Discharges of NF3 are not polymerizing, and thus a good
`choice for cleaning applications. Nitrogen trifluoride is envi-
`ronmentally preferable to CF4 and C2F6 because it has a
`shorter atmospheric lifetime.4 Another advantage of NF3
`over fluorocarbon gases is that the dissociation of NF3 in a
`discharge can approach 100%, resulting in higher F atom
`concentrations and higher etch yields as compared to fluoro-
`carbon gases.
`In previous publications5–7 the etching of Si3N4 and SiO2
`in remote CF4 discharges with O2 and N2 additions has been
`examined. It was found that the etch rate of Si3N4 is strongly
`enhanced when both O2 and N2 are added to the CF4 dis-
`charge, but the SiO2 etch rate remains unchanged. A linear
`correlation between the Si3N4 etch rate and the density of
`NO was observed,5,7 and Blain et al.6 suggested three models
`for the chemical effect of the NO on the nitride surface, all
`incorporating enhanced removal of the nitrogen. Surface ef-
`fects of the NO molecule could also be observed for silicon
`etching.8 The thickness of the reactive layer that forms on the
`0734-2101/98/16„4…/2047/10/$15.00
`
`©1998 American Vacuum Society
`
`2047
`
`TSMC 1326
`
`

`

`2048
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2048
`
`FIG. 1. Schematic of the chemical downstream etcher used in this investi-
`gation. The gases are fed into the sapphire applicator, where a microwave
`discharge is ignited. The species effluent from the plasma travel through
`tubing of variable length and lining material to the reactive chamber. The
`sample is placed on the center of an electrostatic chuck. A quadrupole mass
`spectrometer is mounted on the chamber on top of the sample, and mono-
`chromatic ellipsometry is used to determine etch rates.
`
`crystalline Si during etching is reduced when NO is present,
`leading to an enhanced etch rate.
`This article characterizes the etching of Si3N4 and SiO2 in
`the afterglow of NF3 /O2 microwave discharges. Etch rates
`are reported as a function of NF3 flow and gas composition,
`and the reported etch rates are explained by the generation
`rate of active species, determined by optical emission acti-
`nometry and mass spectrometry. Furthermore, the etching
`mechanism of Si3N4 in the presence of fluorine and NO in
`the gas phase is investigated in more detail by angular re-
`solved x-ray photoelectron spectroscopy 共XPS兲. Another
`article9 will characterize the etching of polycrystalline Si in
`NF3 /O2 mixtures.
`
`II. EXPERIMENT
`Figure 1 shows a schematic of the apparatus used for the
`experiments. Nitrogen trifluoride and mixtures of NF3 and
`O2 are excited using an Astex 2.45 GHz microwave applica-
`tor with a sapphire coupling tube. The pressure for all ex-
`periments was 1000 mTorr. The microwave power was var-
`ied from 600 to 1400 W, the flow of NF3 from 50 to 500
`sccm. All experiments involving O2 were conducted at a mi-
`crowave power level of 1400 W, with a constant flow of NF3
`of either 300 or 500 sccm. A fiberoptic cable for optical
`emission experiments of the discharge is mounted on the
`housing of the applicator. The spectrograph used in this in-
`vestigation is a 30 cm optical multichannel analyzer 共EG&G
`PAR Model 1470兲 which covers the spectrum between 250
`and 850 nm. The species produced in the plasma travel
`through a transport tube to the cylindrical reaction chamber.
`The length, geometry, and lining material of the transport
`tube can be varied. Samples of size 1 in.⫻1 in. are glued on
`a 5 in. carrier wafer, which is placed on an electrostatic
`chuck in the reaction chamber. The materials used for this
`investigation are LPCVD Si3N4 and thermally grown SiO2.
`The temperature of the sample is monitored with a fluoroptic
`probe which contacts the backside of the sample. It was kept
`constant at 10 °C for all experiments. A pressure of 5 Torr of
`helium was maintained between the surface of the electro-
`
`J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998
`
`FIG. 2. The etch rate of Si3N4, SiO2, and polycrystalline silicon as a func-
`tion of the flow of NF3. The measurements were performed at a constant
`pressure of 1000 mTorr and with three different microwave power leads.
`The etch rate roughly increases linearly with the flow due to the increasing
`availability of reactive species.
`
`static chuck and the carrier wafer in order to obtain good
`heat conduction. Etch rates are measured in situ by mono-
`chromatic ellipsometry 共wavelength 632.8 nm兲. A quadru-
`pole mass spectrometer 共Leybold Inficon Transpector兲 is
`mounted on top of the reaction chamber such that the dis-
`tance from the orifice to the discharge is the same as that
`from the sample to the discharge. The ionization region of
`the mass spectrometer is in line of sight with the sampling
`orifice and the reaction chamber. The energy of the ionizing
`electrons is 35 eV. The pressure in the mass spectrometer
`during an experiment is around 1⫻10⫺6 Torr. The reaction
`chamber is connected to an ultrahigh vacuum 共UHV兲 wafer
`handling system which allows the samples to be moved to a
`multi-technique surface analysis chamber without exposure
`to air.
`
`III. RESULTS
`A. Etch rates
`The etch rates of Si3N4 and SiO2 were measured as a
`function of the flow of NF3 共see Fig. 2兲. The pressure was
`kept constant at 1000 mTorr, and the parameter for the
`curves in Fig. 2 is the microwave power. The flow range in
`which it was possible to obtain stable discharges depended
`on the power. At 600 W, for example, a stable discharge
`could be obtained only for 50 sccm of NF3, whereas at 1400
`W the flow of NF3 could be varied across the whole range
`permitted by the mass flow controller. The etch rates of all
`three materials increase linearly with the flow of NF3. Since
`all curves coincide, microwave power does not influence the
`etch rate significantly. The SiO2 etch rates, however, grow
`faster with the flow of NF3 than the corresponding Si3N4 etch
`rates, their slopes being greater by a factor of more than 2.
`
`

`

`2049
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2049
`
`FIG. 4. The etch rate of silicon dioxide as a function of the flow ratio
`O2 /NF3.The etch rate decreases as oxygen is added to a flow of 300 sccm of
`NF3. If a higher NF3 flow is used 共500 sccm兲, the etch rate remains on a
`constant level up to a ratio of 0.4, and then increases slightly upon further
`increase of the flow ratio.
`
`power 共⬍10 W兲, the etch rates assumed regular values. Mass
`spectrometry measurements which were performed with an
`untuned discharge 共200 W reflected power兲, showed a higher
`NO signal
`than a tuned discharge and the presence of
`NFx (x⫽1,2) species in the reaction chamber. It is likely that
`the high NO density is responsible for the fast Si3N4 etch
`rate. The SiO2 etch rate is not influenced by the NO density.
`It is possible that NFx species enhance the etching of SiO2
`under these conditions.
`
`FIG. 3. The etch rate of silicon nitride vs the flow ratio of O2 and NF3. The
`effect of oxygen addition is most pronounced for a high flow of NF3 共500
`sccm兲.
`
`The etch rate of polycrystalline Si is proportional to the
`density of atomic F if no significant oxidation of the silicon
`surface occurs.8,10 Therefore, the F density can be calculated
`from the Si etch rate and published rate constants.11 The etch
`rate of polycrystalline silicon is shown on the bottom panel
`of Fig. 2. It is also proportional to the NF3 flow, and higher
`than the Si3N4 etch rate by a factor of 30. This comparison
`shows that F atoms, the primary etchants for Si and Si3N4,
`are available in abundance to sustain the etching of Si3N4,
`and that the etch rate of Si3N4 is not limited by the density of
`atomic F.
`Oxygen addition to a NF3 discharge strongly enhances the
`Si3N4 etch rates. Figure 3 shows the etch rates of Si3N4 as a
`function of the ratio O2 /NF3. Pressure and microwave power
`were kept constant at 1000 mTorr and 1400 W, respectively.
`The flow of NF3 was fixed at either 300 or 500 sccm. A
`small amount of oxygen increases the etch rate by a factor of
`2 for a NF3 flow of 500 sccm, and by a factor of 4.3 in the
`case of 300 sccm of NF3. As the flow of O2 is increased
`further 共up to O2 /NF3⫽0.3), the etch rates remain constant,
`and etch rates for 300 and 500 sccm of NF3 are identical. A
`significant difference is observed for high flows of oxygen
`(O2 /NF3⬎0.3). The etch rate for the low flow of NF3 re-
`mains on the same low level, whereas the etch rate for the
`high NF3 flow increases continuously until it saturates near
`O2 /NF3⫽1.
`Oxygen addition to a discharge of 300 or 500 sccm of
`NF3 does not affect the silicon dioxide etch rates as strongly
`as it does the silicon nitride etch rates. Figure 4 shows the
`etch rates of SiO2 for ratios O2 /NF3⫽0 to 1. In the case of
`500 sccm of NF3, the etch rate remains almost constant up to
`ratios O2 /NF3⫽0.5, and then increases slightly. If oxygen is
`added to a lower flow of NF3 共300 sccm兲, the etch rate of
`SiO2 actually decreases.
`For certain unstable plasma conditions, etch rates of Si3N4
`and SiO2 were found to be abnormally high. These condi-
`tions often occurred during the tuning of the discharge. After
`the discharge was tuned to a stable state with low reflected
`
`JVST A - Vacuum, Surfaces, and Films
`
`B. Optical emission and actinometry measurements
`We performed actinometry measurements to monitor the
`production of atomic fluorine and oxygen in the discharge as
`a function of the flow O2 in NF3. Actinometry with argon as
`a tracer gas has been widely used to determine the relative F
`atom density in CF4 /O2 and SF6 /O2 systems.12–15 The
`method has been validated by Donnelly et al.16 for the after-
`glow of CF4 /O2 and NF3 /Ar systems. The ground state den-
`sity of a species X in the discharge, nX , is proportional to the
`density of Ar and the ratio of the emission intensities17
`nX⬀nAr* IX /IAr ,
`共1兲
`where the Ar density is determined from the total gas density
`ntot and the gas flows
`QAr
`⫹QO2⫹QAr
`
`QNF3
`Typically, the intensity of the Ar 4s⬘关1/2兴0⫺4p⬘关1/2兴
`emission at 750.4 nm, whose upper level has an excitation
`energy of 13.48 eV, is set in relation with the F 3s2P
`⫺3p2P0 emission at 703.7 nm 共14.76 eV兲, or the O 3s3S0
`⫺3p3P triplet at 844.6 nm 共10.99 eV兲. In our experiments,
`however,
`the Ar 共750.4 nm兲 line overlapped with other
`peaks, and the numerical determination of the intensity is
`very likely to have a systematic error. In order to eliminate
`this error, we included the Ar 共763.5 nm, 4s关1 1/2兴0
`
`nAr⫽ntot⫽
`
`.
`
`共2兲
`
`

`

`2050
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2050
`
`FIG. 5. The relative changes of the O atom concentration as determined by
`Ar actinometry. The flow of NF3 was kept constant at 300 and 500 sccm,
`respectively. As expected, the density of O atoms in the plasma region
`grows with the flow of oxygen. The production of atomic oxygen is higher
`by a factor of 1.9 for the low flow of NF3.
`
`⫺4p关1 1/2兴) and Ar 共811.5 nm, 4s关1 1/2兴0⫺4p关2 1/2兴)
`lines into our analysis. The energies of the upper levels of
`these emissions, 13.17 and 13.08 eV, are very close to that of
`the Ar 共750.4 nm兲 line. The determination of the emission
`intensities of these two emissions is straightforward, since no
`overlap with other emission lines occurs. In all our experi-
`ments, we find that the F/Ar 共763.5 nm兲 and F/Ar 共811.5 nm兲
`ratios have the exact same dependency on the O2 flow. We
`decided to use the Ar emission at 811.5 nm as actinometer
`for all graphs shown here. As discussed recently by Petrovic
`et al.18 and by Malyshev and Donnelly,19 cascading from
`metastable states into the 4p关2 1/2兴 level may contribute
`significantly to the Ar 811.5 nm emission, whereas the con-
`tribution to the Ar 750.5 nm emission is negligible. All
`changes in the plasma affecting the Ar metastable density
`will also affect the emission intensity of the Ar 811.5 nm
`line. This effect is not taken into account in our analysis.
`However, we estimate the error introduced by using the Ar
`811.5 nm line to be 15% or less. This estimate is based on a
`comparison of Ar 750.4 and 811.5 nm emission intensities
`from a CF4 /O2 /Ar microwave plasma ignited in the same
`applicator under similar conditions. The Ar emission lines
`from this gas mixture are free of overlap. The normalized Ar
`750.4 and 811.5 nm emission intensities, as a function of O2
`flow, vary by a maximum of 15% in the CF4 /O2 /Ar system.
`This is reflected by the error bars in Fig. 5. Furthermore, the
`Ar 811.5 nm emission deviates from the dilution curve no
`more than 10% and in a nonsystematic way, which supports
`the notion that the metastable contribution does not depend
`on the O2 flow.
`Walkup et al.20 have compared Ar actinometry of O in
`CF4 /O2 discharges with two photon laser induced fluores-
`cence measurements. They found that the O 3s3S0⫺3p3P
`triplet at 844.6 nm yields more reliable results for the ground
`state O atom density than the 3s5S0⫺3p5P triplet at 777
`⫹ molecules can
`nm, since dissociative recombination of O2
`significantly contribute to the population of the 3p5P level
`and the emission at 777 nm.
`In Fig. 5, the relative change of the O atom concentration
`
`J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998
`
`FIG. 6. The relative changes of the F atom concentration as determined by
`Ar actinometry. The same experimental parameters were used as in Fig. 5.
`The dashed lines indicate the calculated density of F under the assumption
`that dilution is the only effect of O2 addition to NF3. At both flows of NF3,
`the production of F atoms is not enhanced significantly. The F concentration
`decreases due to dilution. This is in contrast to CF4 /O2 microwave plasmas,
`and also to NF3 /O2 low density plasmas.
`
`in the discharge region is shown as a function of the ratio
`O2 /NF3. As one expects, the density of O atoms increases
`with the flow of O2. However, in the case of 300 sccm of
`NF3, the O atom concentration grows faster than in the case
`of 500 sccm of NF3. The initial slopes of the curves differ by
`a factor of 1.9. At a fixed flow of 300 sccm of O2 共designated
`by crosses in Fig. 5兲, the density of ground state oxygen
`atoms is higher by a factor of 2.9 for the low flow of NF3.
`Figure 6 shows the behavior of the F atom concentration
`in the plasma as a function of oxygen addition. The dashed
`lines show the predicted F density under the assumption that
`dilution of gas phase species is the only effect on the pro-
`duction of F as O2 is added to the discharge. The concentra-
`tion of F decreases with the addition of O2. However, Fig. 6
`indicates that the decrease of the F density for the case of
`500 sccm of NF3 is less than predicted by the dilution effect
`by a margin significantly greater than the error. This indi-
`cates that the production rate of F atoms is slightly increased
`by the presence of oxygen in the discharge, but the total
`density decreases due to dilution.
`In order to gain information about the chemical effects of
`the O2 in the discharge, relative changes of the emission
`intensities from N2 and NF were determined. Oxygen atoms
`can be expected to quickly oxidize the lower fluorides of
`NF3,21,22 leading to a reduced density of NFx (x⫽1,2) in the
`plasma. The production of N2 is likely to decrease in favor of
`the generation of oxides of nitrogen.22 The b 1兺 ⫹⫺X 3兺 ⫺
`system of NF at 528.8 nm and the C 3兿 u⫺B 3兿 g system of
`N2 at 357.7 nm could be detected. The emission intensity of
`both N2 and NF in the plasma decreases more strongly than
`just due to the dilution effect 共see Fig. 7兲, and the emission
`from NF vanishes at O2 /NF3⫽2. This indicates the presence
`of chemical reactions of those species with O or O2.
`Optical emission from other species, e.g., F2, N, NO, or
`NO2, could not be identified in the present work. This is
`23
`consistent with the observation of other researchers for NF3
`
`

`

`2051
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2051
`
`FIG. 7. The relative changes of the N2 and NF emission intensities from the
`NF3 /O2 discharge. The same experimental parameters were used as in Fig.
`5. Again, the dashed line indicates the dilution by O2. At both flows of NF3,
`the density of both species is reduced more than just by dilution alone.
`
`and NF3 /Ar16 discharges. Atomic nitrogen and F2 are sup-
`pressed by fast reactions with NFx species16
`N⫹NFx→NF⫹NFx⫺1 ,
`共3兲
`F2⫹NFx⫺1→NFx⫹F,
`共4兲
`therefore their emission intensity is below the detection limit
`of our spectrograph. So far, no explanation for the absence of
`emission from NO can be given.
`C. Mass spectrometry measurements
`We previously applied mass spectrometry to determine
`the relative changes in the concentration of reactive species
`in chemical dry etching.7 As in our previous work, we mea-
`sured the intensities of the species with and without a dis-
`charge ignited. The data is then plotted as the difference
`between the plasma-on and the plasma-off state, which rep-
`resents the production and dissociation of species in the
`plasma better than the approach where the plasma-on state
`only is measured.
`An analog spectrum of the afterglow of a microwave dis-
`charge in pure NF3 is shown in panel 共a兲 of Fig. 8, together
`with the spectrum obtained for no discharge ignited. This
`spectrum shows the NF3 peak at mass number 71 and the
`cracking products NF and NF2. Background signals of O2
`and N2 are also visible. These peaks disappear completely, as
`a discharge is ignited with 1400 W of microwave power.
`Instead, F, F2, and N2 are produced. SiF3 at 85 amu appears
`as a cracking product of SiF4, the product of etching reac-
`tions of quartz windows in the reactor. The difference spec-
`trum IPlasmaOn⫺IPlasmaOff is shown in panel 共b兲. The dissocia-
`tion of species, like NFx , is represented as a negative peak.
`Generation of species, like N2, F2, and the F radical leads to
`positive peaks in the difference spectrum. Panel 共c兲 of Fig. 8
`shows the difference spectrum obtained from a NF3/O2 mix-
`ture. Nitric oxide is produced in the discharge, and oxygen is
`visible as a negative peak.
`Figure 9 shows the normalized density of F2 and the in-
`
`JVST A - Vacuum, Surfaces, and Films
`
`FIG. 8. Typical mass spectra sampled from the downstream reactive cham-
`ber. For the top panel pure NF3 is used. The top panel shows analog spectra
`for no discharge and for the microwave discharge ignited, together with the
`difference spectrum. The NFx (x⫽1,2,3) peaks disappear completely as the
`discharge is ignited. Therefore they appear as negative peaks in the differ-
`ence spectrum. N2, F2, and F radicals are the main products of the NF3
`discharge. The bottom panel contains the difference spectrum of a NF3 /O2
`mixture showing NO production.
`
`tensity of the peak at 19 amu as a function of O2 addition to
`500 sccm of NF3. The density of F2 decreases with increas-
`ing flow of O2. The dashed line in Fig. 9 is the dilution
`curve. The peak at 19 amu is due to atomic fluorine and
`electron impact dissociation of F2 in the ionization region of
`the mass spectrometer. The data shown are not corrected for
`this effect, since an estimate for the F2 contribution was not
`available.
`It is known from previous work7 that the etch rate of
`Si3N4 is proportional to the density of NO in the reaction
`chamber. Figure 10 shows the normalized NO density down-
`
`FIG. 9. The intensity difference between the plasma-on and plasma-off val-
`ues, IPlasmaOn⫺IPlasmaOff , for the 19F and the 38F2 peaks. Both difference
`values decrease as the flow of O2 in NF3 is increased from a ratio O2 /NF3
`⫽0 to O2 /NF3⫽2.
`
`

`

`2052
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2052
`
`FIG. 10. IPlasmaOn⫺IPlasmaOff for the 30NO peak at two different flows of NF3.
`Both curves have been normalized with their common maximum value,
`which occurred for the high NF3 flow. The two distinctively different Si3N4
`etch rate curves from Fig. 3 are well mirrored in the 30NO peak behavior.
`
`stream from the plasma for 300 and 500 sccm of NF3. The
`two curves show significantly different behavior, and both
`show a strong similarity to the Si3N4 etch rate curves for the
`same parameters from Fig. 3. In the case of 500 sccm of
`NF3, the NO density remains on a constant level for ratios
`O2 /NF3⬍0.5, and then increases strongly with the flow of
`O2. At 300 sccm of NF3, the NO density generally is signifi-
`cantly lower than at the high flow of NF3. It remains on a
`constant level up to O2 /NF3⫽0.6, and then grows steadily.
`The normalized change in the concentration of O2 is
`shown in Fig. 11. In previous work on the chemical down-
`stream etching with CF4 /O2 /N2 gas mixtures, a significant
`amount of oxygen atoms could be detected in the reaction
`chamber by the mass spectrometer. The common existence
`of O and NO leads to the appearance of the yellow-greenish
`air afterglow24–26 in the CF4 /O2 /N2 system. No atomic oxy-
`gen can be detected downstream from a NF3 /O2 discharge.
`The difference of the plasma-on and plasma-off intensities of
`the peak at 16 amu follows that of the parent molecule O2.
`
`FIG. 11. IPlasmaOn⫺IPlasmaOff for the 32O2 peak. O2 is destroyed in the dis-
`charge, thus the plasma-off intensity is higher than the plasma-on intensity,
`and the difference is in the negative. The 16O value follows the 32O2 value,
`indicating that the major contribution to the 16O intensity comes from O2
`split up in the ionization region of the mass spectrometer, not from O radi-
`cals produced in the discharge. The reaction chamber is free of atomic O.
`
`J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998
`
`FIG. 12. The corrected peak intensity ratio of the F(1s) and the Si(2p)
`photoelectron emissions. The electron emission angle was 75° with respect
`to the surface normal. The fluorine content in the reactive layer initially
`decreases with the flow of O2, then remains on a constant level.
`
`Therefore, one is led to the conclusion that the atomic oxy-
`gen signal is exclusively due to O2 split up in the ionization
`chamber of the mass spectrometer, and that the afterglow of
`a NF3 /O2 discharge essentially contains no atomic oxygen.
`
`D. Surface analysis results
`X-ray photoelectron spectra were obtained from Si3N4
`and SiO2 samples immediately after processing. The electron
`emission angle for the element ratio measurements shown
`here was 75° with respect to the surface normal. The effec-
`tive electron escape depth under this angle, assuming an in-
`elastic mean free path of 20 Å, is only 5 Å. Thus, the XPS
`measurements are extremely surface sensitive. The areas of
`the different elemental peaks were corrected for different
`photoionization cross sections and the detector response.
`Figure 12 shows the fluorination of the Si3N4 surface after
`processing under conditions for which we have found the
`most pronounced effects of oxygen addition on the etch rate.
`These conditions are a flow of 500 sccm of NF3, a micro-
`wave power of 1400 W, and a chamber pressure of 1000
`mTorr. The fluorination of the surface decreases for O2 /NF3
`ratios up to 0.5, then remains on a constant level.
`The oxidation of the surface layer and the nitrogen con-
`tent are shown in Fig. 13 as the corrected ratio of
`O(1s)/Si(2p) and N(1s)/Si(2p) emission intensities. The
`surface oxidation initially is on a fairly constant level for
`O2 /NF3 ratios up to 0.5, then increases by a factor of 4 as
`O2 /NF3 approaches 1. The surface oxidation does not in-
`crease further, as the flow of O2 in NF3 is increased to a ratio
`of 2. The amount of surface oxidation is very similar to the
`Si3N4 etch rates and the NO concentration in the reaction
`chamber for the same parameters. The surface is depleted of
`N in the same way it is oxidized. A close correlation between
`surface oxidation and nitrogen depletion is shown by these
`data.
`The stoichiometry of the reactive layer can be deduced
`from Fig. 14. There, the corrected intensity ratios of emis-
`sions from O(1s) and F(1s) are shown. For all gas compo-
`sitions, F atoms are the dominant foreign species in the re-
`active layer. At low flows of O2, there are approximately ten
`
`

`

`2053
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2053
`
`FIG. 15. The penetration depth of F and O atoms into Si3N4, and of F atoms
`into SiO2.
`
`FIG. 13. The corrected peak intensity ratios of the O(1s) and the N(1s) over
`the Si(2p) photoelectron emissions. The electron emission angle was 75°
`with respect to the surface normal. The surface is depleted of N atoms in the
`same way as it is oxidized. Both the depletion of N and the surface oxidation
`follow the behavior of the 30NO mass spectrometer signal for the same
`experimental parameters very well.
`
`times more F atoms in the surface than there are O atoms.
`However, as the flow of O2 is increased, the surface oxida-
`tion increases, and the corrected ratio of O and F emission
`intensities increases to 0.5.
`The thickness of the reactive layer which forms on the
`bulk silicon nitride during the etching has been determined
`by angular
`resolved XPS 共ARXPS兲. The conventional
`method27 to determine the overlayer thickness on a silicon
`substrate cannot be applied to reactive layers on Si3N4 and
`SiO2 films. The conventional method is based on the chemi-
`cal shift that Si(2p) core electrons suffer as Si–Si bonds are
`successively replaced with bonds with more electronegative
`atoms. The chemical shift of the Si(2p) emission from the
`overlayer on a crystalline or polycrystalline silicon substrate
`is high enough to be resolved by XPS from the unshifted
`emission from the bulk. However, for Si3N4 the binding en-
`ergy of a 2p electron from a bulk Si atom is 104 eV, and the
`binding energies of Si(2p) electrons from the reacted layer
`
`FIG. 14. The corrected peak intensity ratio of the O(1s) and the F(1s)
`photoelectron emissions. The electron emission angle was 75° with respect
`to the surface normal. The stoichiometry of the reactive layer surface can be
`deduced from this graph. Fluorine atoms are the dominant foreign species
`共ratios ⬍1兲. The oxidation increases strongly as the flow ratio of O2 in NF3
`is increased beyond 0.4. Thus, high etch rates in the case of Si3N4 etching
`can be achieved even with higher surface oxidation.
`
`JVST A - Vacuum, Surfaces, and Films
`
`are too close to this value to be resolved by the XPS. In the
`case of SiO2, the contribution to the Si(2p) emission from
`oxidized Si atoms from the bulk and from Si atoms in the
`reactive layer cannot be resolved, since each fluorine–
`oxygen substitution shifts the energy level of the Si(2p) core
`electrons by merely 0.2–0.3 eV.28
`In ARXPS, the emission angle of electrons with respect to
`the surface normal, ␪, is changed by rotating the sample. The
`depth of origin of the photoelectrons is thereby changed from
`the very surface at ␪⫽75° to the order of the inelastic mean
`free path at ␪⫽0°. The values for the inelastic mean free
`paths of electrons originating from F(1s) or O(1s) are ob-
`tained from Briggs and Seah.29
`The intensity as a function of the electron escape angle,
`I共␪兲, of the F(1s) and O(1s)emissions was measured at six
`angles from 75° to 0° with respect to the surface normal. The
`peak intensities were then corrected for instrumental effects
`as a function of the angle. Correction factors for the different
`angles were obtained from the emission intensities of a ho-
`mogeneous semi-infinite SiO2 film. The measured and cor-
`rected I共␪兲 was then least-square fitted with the output of a
`for different
`single-
`simulation, which calculated I共␪兲
`parametric model assumptions about the decay of I(x), the
`foreign species density in the reactive layer as a function of
`the depth x in the sample. The three models used for this
`investigation are: 共a兲 one-step, 共b兲 exponential, and 共c兲 linear
`decrease of the foreign species density with increasing d. For
`a given model assumption for I(x), I(␪)is given by
`1
`⬁
`
`cos ␪冕
`
`I共␪兲⫽
`
`I共x 兲e ⫺x/␭ cos ␪dx.
`
`共5兲
`
`0
`Models 共a兲 and 共b兲 yield results for the penetration depth
`of the foreign species with a deviation of 0.1 nm or less. The
`linear decay model gives results consistently higher by a
`factor of 2. We have therefore chosen to report the results
`obtained with the exponential decay model. In Fig. 15, the
`penetration depths of F and O atoms into Si3N4 are shown as
`a function of the ratio of O2 in NF3. Fluorine penetrates the
`silicon nitride about 0.4 nm deep, with only a 25% variation
`around this value as the gas composition is varied. Oxygen
`atoms penetrate the Si3N4 much less than F. The penetration
`
`

`

`2054
`
`Kastenmeier etal.: Remote plasma etching of Si3N4 and SiO2
`
`2054
`
`depth for O2 /NF3 ratios up to 1 is between 0.1 and 0.2 nm.
`For higher flows of O2, the penetration depth of O atoms
`increases substantially. We measure a penetration depth of
`0.7 nm at O2 /NF3⫽2. Also included in Fig. 15 is the pen-
`etration depth of F atoms into SiO2. Fluorine penetrates SiO2
`about 50% deeper than it does Si3N4.
`
`IV. DISCUSSION
`A. Gas phase effects of O2
`Nitrogen trifluoride is easily dissociated by electron im-
`pact. The threshold energy for the first step, dissociative
`electron attachment
`e ⫺⫹NF3→NF2⫹F⫺
`共6兲
`is

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket