throbber
United States Patent
`US 6,228,777 B1
`(10) Patent No.:
`(12)
`Arafa etal.
`(45) Date of Patent:
`May8, 2001
`
`
`US006228777B1
`
`(54)
`
`(75)
`
`INTEGRATED CIRCUIT WITH
`BORDERLESS CONTACTS
`
`Inventors: Mohamed Arafa, Hillsboro; Scott
`Thompson,Portland, both of OR (US)
`Intel Corporation, Santa Clara, CA
`
`(73) Assignee:
`
`(*) Notice:
`
`US(US)
`Subject to any disclaimer, the term ofthis
`patent is extended or adjusted under 35
`US.C. 154(b) by 0 days.
`
`(21) Appl. No.: 09/328,190
`(22)
`Filed:
`Jun. 8, 1999
`
`(56)
`
`438/675
`
`7
`Tint, Cd ie eesecssecseccneeeneeseesente HO1L 21/302
`(51)
`(52) U.S. Cl].
`ccccccccccccscssssssccsccessscssesenseee 438/740; 438/740
`(58) Field of Search 0... 438/664, 666,
`438/683, 674, 704, 740, 970, 634, 675,
`655, 221, 437, 291, 910
`.
`References Cited
`U.S. PATENT DOCUMENTS
`4,208,780
`6/1980) Richman... eseeeeeeeeeneees 29/571
`4,441,247
`4/1984 Gargini et al. oo. ceeeees 29/571
`4,557,036
`12/1985 Kyuragiet al. oceeees 29/571
`4,650,696
`3/1987 Raby .
`4,732,801
`B/L988 Joshi veccecesssesssesssesseseeees 428/198
`tee i088 vauskai‘ AL. eeeceeeeeeeneeee 428/704
`4.866.003
`9/1989 Yokoi et all.
`.
`4,920,073
`4/1990 Weiet all..
`4,948,482
`8/1990 Kobayashi et al. oo... 204/192
`4,966,870
`10/1990 Barberetal. .
`4,997,518
`3/1991 Madokoro wc ccccseseseeeseeee 156/643
`5,089,432
`2/1992 Yoo.
`5,180,688
`1/1993 Bryant etal. .
`5.268.330 * 12/1993 Givensetal
`5.975.972
`1/1994 Ogawa et aL.
`5,285,103
`2/1994 Chen et al.
`ssssesssssssseeeneeen 257/644
`5,314,847
`5/1994 Watanabeetal. .
`5,372,969
`12/1994 Moslehi .
`
`4/1995 Thakuretal. .
`5,409,858
`12/1995 Thakur.
`5,474,955
`5/1997 Brigham etal. ..
`... 438/763
`5,633,202
`a000 maniaet AL. sess
`vo 1387991
`ee :
`
`see
`alasubramanyam
`,090,
`8/2000 Wa crssessssscssesssssseesseseeessnses 438/655
`6,096,642 *
`FOREIGN PATENT DOCUMENTS
`2116132
`4/1990 (IP).
`son0o8>
`,003 a :
`/
`GIP).
`OTHER PUBLICATIONS
`
`R.C. Sun, J.T. Clemens, J.T. Nelson, “Effects of Silicon
`Nitride Encapsulation on MOSDevice Stability”. JEEE 18th
`Annual Proceedings Reliability Physics 1980, pp. 244-251.
`A. Hamada, E. Takeda, “AC Hot—Carrier Effect Under
`MechanicalStress”, IEEE Symposium on VLSITechnology
`Digest of Technical Papers, Jun. 1992, pp. 98-99.
`M. Shimbo, T. Matsuo, “Thermal Stress in CVD PSG and
`SiO, Films on Silicon Substrates”, Journal of the electro-
`chemical Society, vol. 130 No. 1, Jan. 1983, pp. 135-138.
`K. Okuyama, K. Kubota, T. Hashimoto,S. Ikeda, A. Koike,
`“Water—Related Threshold Voltage Instability of Polysilicon
`TFTs”, IEDM International Electron Devices Meeting, Dec.
`1993, p 527-530.
`N.Lifshitz, G. Smolinsky, “Water—Related Charge Motion
`in Dielectrics”, Journal of the Electrochemical Society, vol.
`136, No. 8, Aug. 1989, pp. 2335-2340.
`(List continued on next page.)
`Primary Examiner—David Nelms
`Assistant Examiner—David Nhu
`(74) Attorney, Agent, or Firm—Mark Seeley
`67)
`ABSTRACT
`An integrated circuit comprising a conductive region formed
`.
`w.
`on a semiconductor substrate, a silicate glass layer formed
`:
`:
`on the conductive region, and an etch stop layer formed on
`the silicate glass layer. The integrated circuit also includes a
`borderless contact that is coupled to the conductive region.
`
`10 Claims, 3 Drawing Sheets
`
`ESsss
`
`.
`
`N
`
`NNNNNNNN
`
`NSk
`
`TSMC 1317
`TSMC1317
`
`

`

`US 6,228,777 B1
`
`Page 2
`
`OTHER PUBLICATIONS
`
`M. Noyori, et al, “Comparisons of Instabilities in Scaled
`CMOSDevices Between Plastic and Hermetically Encap-
`sulated Devices”, IEEE Transactions on Electron Devices,
`Oct. 1983 pps.
`W. H. Stinebaugh,Jr., et al, “Correlation of Gm Degradation
`of Submicrometer MOSFET’s with Refractive Index and
`
`Mechanical Stress of Encapsulation Materials”.
`IEEE Transactions on Electron Devices, Vo. 36, No. 3, Mar.
`1989, pp. 542-547.
`C.E. Blat, E.H. Nicollian, E.H. Poindexter, “Mechanism of
`Negative-Bias—Temperature Instability”, Journal of Applied
`Physics, vol. 69, No.3, 2/91, pp. 1712-1720.
`J. Takahashi, K. Machida, N. Shimoyama, K. Minegishi,
`“Water Trapping effect of Point Defects in Interlayer Plasma
`CVD Si02 Films”, Proceedings Ninth International VLSI
`Multilevel Interconnection Conference (VMIC), Jun. 1992,
`pp. 331-336.
`N.Stojadinovic, S. Dimitrijev, “Instabilities in MOS Tran-
`sistors”, Microelectrones and Relibility, 1989, vol. 29, No. 3
`pp. 371-380.
`K. Shmokawa, T. Usami, S. Tokitou, N. Hirashita, M.
`Yoshimaru, M. Ino, “Supression of the MoS Transistor Hot
`Carrier Degradation Casued by Watdr Desorbed from Inter-
`metal Dielectric”, IEEE Symposium on VLSI Technology
`Digest of Technical Papers, Jun. 1992, pp. 96-97.
`AN. Saxena, K Ramkumar, S.K. Ghosh,“Stresses in TEOS
`Based Si02 Films and Reliability of Multilevel Metaliza-
`tions”, Proceedings Ninth International VLSI Multilevel
`Interconnection Conference
`(VMIC,
`Jun.
`1992,
`pp.
`427-429.
`
`V. Jain, D. Praminik, “Impact of Inter Metal Oxide Struc-
`tures and Nitride Passivation on Hot Carrier Reliability of
`Sub-Micron MOS Devices”, proceedings Ninth Interna-
`tional VLSI Multilevel
`Interconnection Conference
`(VMIC), Jun. 1992, pp. 417-419.
`N. Shimoyama, K. Machida, K. Murase, T. Tsuchiya,
`“Enhanced Hot—Carrier Degradation Due to Water in TEOS/
`O3-Oxide and Water Blocking Effect of ECR-S102”, [EEE
`Symposium on VLSI Technology, Jun. 1992, pp. 94-95.
`A. Hamada, T. Furusawa, E. Takeda, “A New Aspect on
`Mechanical Strress Effects in Scaled MOS Devices”, IEEE
`Symposium on VLSI Technology Digest of Technical
`Papers, 6/90 p.
`M. Noyoni, T. Ishihara, H. Higuchi “Secondary Slow Trap-
`ping-A New Moisture Induced Instability Phenomenon in
`Scaled CMOS Devices”, IEEE 20th Annual Proceedings
`Reliability 1982, p.
`
`J. Mitsuhashi, H. Muto, Y. Ohno, T. Matsukawa, “Effect of
`P-SiN Passivation Layer on Time-Dependent Dielectric
`Breakdown in Si02”, IEEE 25th Annual Proceedings Reli-
`ability Physics, Apr. 1987, pp. 60-65.
`
`K.P. MacWilliams, L-E. Lowry, D.J. Swanson, J. Scarpulla,
`“Wafer-Mapping of Hot Carrier Lifetime Due to Physical
`Stress Effects”, IEEE Symposium on VLSI Technology,
`Digest of Technical Papers, Jun. 1992, pp. 100-101.
`
`J. Mitusuhashi, S. Kakao, T. Matsukawa, Mechanical Stress
`and Hydrogen Effects on Hot Carrier Injection, IEE-IEDM
`Tech Digest, International Electron Devices Meeting 12/86,
`p.
`
`J. Mitsuhashi, M.
`Y. Ohno, A. Ohsaki, T. Kaneoka,
`Hirayama, T. Kato, “Effect of Mechanial Stress for Thin
`S$iO2 Films in TDDB and CCST Characteristics”, [EE 27th
`Annual Proceedings Reliability Physics, Apr. 1989, pp.
`34-38.
`
`W. Abadeer, W. Tonti, et al, Bias Temperature Reliability of
`N+ and P+ Polysilicon Gates NMOSFETs and POMSFETs,
`IEEE 31st Annual Proceedings Reliability, 8/93, pp.
`147-149.
`
`K.O. Jeppson, C.M. Svensson “Negative bias stress of MOS
`devices at high electric fields and degradation of MNOS
`devices” Journal of Applied Physics V48, #5, 5/77 pp.
`2004-2014.
`
`R.T. Fuller, W.R. Richards, Y. Nissan—Cohen, J.C. Tsang,
`P.M. Sandow, “The Effects of Nitride Layers On Surface
`State Density and the Hot Electron Lifetime of Advanced
`CMOS Circuits” IEEE 1987, Custom Intefrated Circuits
`Conference, pp. 337-340.
`
`S. Fujita, Y. Uemoto, A. Sasaki, “Trap Generation in Gate
`Oxide Layer of MOSStructures Encapsulated by Silicon
`Nitride”, IEDM-IEEE 1985, pp. 64-67.
`
`Stanley Wolf, “Silicon Processor the VLSI Era”, vol. 1, pp.
`191-195/514-515.
`
`Stanley Wolf, “Silicon Processing for the VLSI Era’, vol. 2,
`pp. 132-133, 144-145, 164-165, 188-189, 194-195,
`392-396.
`
`M. Noyori,et al., “Characteristics & Analysis of Instability
`Induced by Secondary Slow Trapping in Scaled CMOS
`Devices”, IEEE Transactions Reliability, 8/83 pp. 323-329.
`
`* cited by examiner
`
`

`

`U.S. Patent
`
`Sheet 1 of 3
`
`May8, 2001
`
`US 6,228,777 B1
`
`FIGURE 2
`
`

`

`U.S. Patent
`
`May8, 2001
`
`Sheet 2 of 3
`
`US 6,228,777 B1
`
`
`
`FIGURE 3
`
`

`

`U.S. Patent
`
`May8, 2001
`
`Sheet 3 of 3
`
`US 6,228,777 B1
`
`
`
`FIGURE 4
`
`

`

`US 6,228,777 B1
`
`2
`1
`FIG. 2 is an illustration of a cross-section of the device
`INTEGRATED CIRCUIT WITH
`BORDERLESS CONTACTS
`shown in FIG. 1 that includes a silicate glass layer formed
`onasilicide.
`FIELD OF THE INVENTION
`FIG. 3 is an illustration of a cross-section of the device
`shown in FIG. 2 that includes an etch stop layer formed on
`the silicate glass layer.
`FIG. 4 is an illustration of a cross-section representing an
`embodiment of the integrated circuit of the present inven-
`tion.
`
`The present invention relates to integrated circuits and a
`method for making them.
`BACKGROUND OF THE INVENTION
`
`DETAILED DESCRIPTION OF THE PRESENT
`INVENTION
`
`To continue adding transistors to integrated circuits with-
`out significantly increasing die sizes, the distance between
`transistors and other devices may have to be reduced. The
`need to reduce the distance between devices may require
`reducing the width of the landing area for the contacts. As
`An improved integrated circuit and method for makingit
`a result, when contact is madeto the landing area, part of the
`are described. FIG. 1 illustrates a device 100 that may be
`contact may extend laterally over the isolation structure,
`made using conventional process steps for forming an
`forming a borderless contact. To prevent the contact etch
`integrated circuit. Device 100 represents a structure that may
`step from etching into the isolation structure, an etch stop
`be used to form an MOStransistor, and includes well 149
`layer may be formed on the surface of the device prior to
`upon which is formed gate oxide 101 and gate 102. As
`performing the contact etch. Such a layer may comprise
`silicon nitride.
`shown, gate 102 comprises polysilicon 146 andsilicide 148.
`On the sides of gate 102 is oxide 103, which serves as a
`In conventional devices, which do not include borderless
`stress buffer for the nitride layer used to form nitride spacers
`contacts, an interlayer dielectric (“ILD”) that includes a
`104. On either side of spacers 104 are conductive regions
`silicon dioxide, PSG, or BPSG layeris frequently formed on
`130 and 131, which are formed on well 149. In this embodi-
`a silicide. The presenceofthat layer, and the processes used
`ment of the present invention, conductive regions 130 and
`to deposit and etch it, give the resulting device certain
`131 comprise suicides 105 and 106, which rest on diffused
`properties. Whenasilicon nitride layer is formed between
`25
`the silicide and such an ILD layer, for enabling borderless regions 107 and 108, respectively. Also shown in FIG.1is
`
`contacts, the silicon nitride layer (and processes used to form
`shallow trench isolation structure 109 formed adjacent to
`well 149.
`and etch it) may cause the resulting device’s characteristics
`to differ from those of a device that lacks such a layer.
`Someof those changes may be undesirable. For example,
`adding such a silicon nitride layer may introduce certain
`stresses that can degrade the saturation current or cause the
`device’s threshold voltage to shift. Depositing a silicon
`nitride layer directly on top of a silicide may increase the
`silicide’s sheet resistance and adversely affect the way the
`silicide agglomerates. When using a plasma process to
`remove silicon nitride, different charging characteristics
`may result, when comparedto those that result when etching
`the ILD layer. Also, when such a silicon nitride etch stop
`layeris deposited using a hot wall chemical vapor deposition
`(“CVD”) process, significant portions of the silicide may
`oxidize.
`
`Well 149 may be a heavily doped (e.g., p+ or n+) p-well
`or n-well, depending upon whether the device formed above
`well 149 is an n-MOS or p-MOSdevice. Gate oxide 101,
`gate 102, oxide 103, nitride spacers 104, suicides 105 and
`106 and diffused regions 107 and 108 may be made from
`materials conventionally used to form suchstructures using
`conventional process steps, as is well understood by those
`skilled in the art.
`
`10
`
`15
`
`20
`
`30
`
`35
`
`40
`
`Although conductive regions 130 and 131 shownin FIG.
`1 include suicides 105 and 106 formed on diffused regions
`107 and 108, any conductive structure or material that may
`receive a borderless contact may comprise a conductive
`region, as that term is used herein. The term “conductive
`region” is thus not intended to be limited to the structure
`shown in FIG. 1.
`
`Accordingly, there is a need for a method for making a
`device having borderless contacts that mitigates against the
`Shallow trench isolation structure 109 may comprise a
`type of device characteristic changes and performance deg-
`combination of grown and deposited oxide and may be
`radation that the silicon nitride etch stop layer may cause. In
`formed in numerous ways, such as using the process
`particular, there is a need for a device that is not adversely
`described in U.S. Pat. No. 5,719,085, assigned to this
`affected by stresses, unwanted changesto silicide properties,
`application’s assignee.
`or undesirable charging effects, which may result from
`When making one embodimentof the integrated circuit of
`forming such a layer. There is also a need for a process for
`50
`the present invention,relatively thin silicate glass layer 111
`makinga device that includes suchasilicon nitride layer that
`is formed on the surface of device 100, as shown in FIG. 2.
`permits use of a hot wall CVD process to deposit such a
`Preferably, layer 111 is between about 100 and about 1,000
`layer, without causing significant oxidation ofthe silicide.
`angstroms thick, and more preferably between about 200
`SUMMARYOF THE INVENTION
`and about 400 angstromsthick.
`Silicate glass layer 111 may comprise an undoped silicon
`dioxide, phosphosilicate glass (PSG) or borophosphosilicate
`glass (BSPG) layer. Such a layer may be formed on the
`surface of device 100 by applying a conventional plasma
`enhanced CVD (PECVD), atmospheric pressure CVD
`(APCVD) or
`low pressure CVD (LPCVD) process.
`Preferably, layer 111 comprises silicon dioxide deposited
`onto the surface of device 100 using a PECVD process,
`which employs conventional equipment and materials, e.g.,
`silane, tetraethylorthosilicate (TEOS), or someothersilicon
`source.
`
`45
`
`55
`
`60
`
`65
`
`The present invention covers an integrated circuit com-
`prising a conductive region formed on a semiconductor
`substrate, a silicate glass layer formed on the conductive
`region, and an etch stop layer formed on the silicate glass
`layer. The integrated circuit also includes a borderless con-
`tact that is coupled to the conductive region. The present
`invention further covers a method for forming such an
`integrated circuit.
`BRIEF DESCRIPTION OF THE DRAWINGS
`FIG. 1. is an illustration of a cross-section of a device that
`may be formed when making an embodiment of the inte-
`grated circuit of the present invention.
`
`After formingsilicate glass layer 111, etch stop layer 120
`is formed on silicate glass layer 111, as shown in FIG. 3.
`
`

`

`US 6,228,777 B1
`
`10
`
`15
`
`20
`
`25
`
`30
`
`4
`the contact, a two step process may be used instead, where
`the first step etches through ILD layer 125 and the second
`step etches through both silicon nitride layer 120 andsilicate
`glass layer 111.
`After the via has been etched through layers 125, 120 and
`111, borderless contact 110 is formed to make electrical
`contact to conductive region 130, e.g., by contacting silicide
`105, as shown in FIG. 4. Borderless contact 110 is formed
`by filling the via with a conductive material. As shown in
`FIG. 4, that conductive material may comprise relatively
`thin titantum and titanium nitride layers 119 and 118 and
`tungsten plug 117, which are successively deposited to fill
`the via.
`
`3
`Layer 120 preferably comprises silicon nitride, which may
`be deposited onto silicate glass layer 111 using conventional
`techniques for forminga silicon nitride layer, some of which
`are described in U.S. Pat. No. 5,633,202, assigned to this
`application’s assignee. In this embodiment of the present
`invention, layer 120 preferably is between about 100 and
`about 1,500 angstroms thick, and more preferably between
`about 200 and about 500 angstromsthick.
`When made from silicon nitride, layer 120 may be formed
`using a hot wall LPCVD or a PECVD process. When the hot
`wall process is used, dichlorosilane and ammonia may be
`fed into the reactor at a temperature that
`is preferably
`between about 550 and about 800° C. Such a hot wall
`process is preferred to a PECVD process for depositing
`Formingsilicate glass layer 111 betweensilicide 105 and
`silicon nitride as the resulting layer should provide more
`silicon nitride layer 120 may provide a numberof advan-
`favorable selectivity, uniformity and quality. Unlike a
`tages over processes that deposit a silicon nitride layer
`PECVD process, a LPCVD process should not produce
`directly on the silicide. Silicate glass layer 111 acts as a
`undesirable charging effects. In addition, because such an
`stress reliever, which should reduce the shift
`in device
`LPCVDprocess is a batch process, such a process may
`characteristics that the silicon nitride layer may otherwise
`provide higher throughput than a PECVD process.
`cause. Devices that include such a layer may also show
`reduced variance in resistance in both the silicide and the
`After etch stop layer 120 is deposited, ILD layer 125 is
`contacts, and may be morereliable. In addition, the presence
`formed.
`ILD layer 125 may be formed from the same
`of such a silicate glass layer may decrease the amount of
`insulating material used to form silicate glass layer 111 using
`silicide degradation and adverse charging effects, which
`the same equipmentand similar process steps, but modified
`otherwise may result from the silicon nitride etch step.
`to produce a layer that is between about 3,000 and about
`Formingasilicate glass layer between the silicide and the
`10,000 angstroms thick. Alternatively, ILD layer 125 and
`silicon nitride layer may thus enable selection of a silicon
`layer 111 may be formed from different materials.
`nitride etch process without having to considerthe effect that
`Preferably, ILD layer 125 is a PSG layer formed using a
`such a process may have onsilicide degradation or device
`PECVDprocess that employs TEOS—although other CVD
`charging.
`processes, which use other silicon sources, may be used.
`Although ILD layer 125 preferably is a PSG layer, ILD layer
`Another advantage of this new process is that silicon
`125 could instead comprise a silicon dioxide or BPSG layer
`nitride layer 120 may be formed using a hot wall CVD
`or be formed from other materials that may provide an
`process instead of a PECVD process, because silicon glass
`insulating function. When a PSG layer,
`the phosphorus
`layer 111 may protect the silicide from oxygen used in such
`source may be phosphine, diphosphide or trimethylphos-
`a process. Such a relatively high temperature hot wall
`phide. When a BPSG layer,
`the boron source may be
`process may produce a higher quality furnace nitride, with
`diborane or trimethylborate.
`improved uniformity, than may be producedbya relatively
`low temperature PECVD process. In addition, such a hot
`Following deposition of ILD layer 125, that layer may be
`wall process should not generate potentially troublesome
`planarized, such as by applying a chemical mechanical
`charging effects that a PECVD process may produce.
`polishing step. A layer of oxide (not shown) may then be
`Features shownin the above referenced drawings are not
`deposited on top of ILD layer 125, e.g., by using TEOS in
`intended to be drawnto scale, nor are they intended to be
`a PECVDprocess.
`shown in precise positional relationship. For example, bor-
`After the dielectric layer or layers have been formed on
`derless contact 110 could be formed further to the right from
`etch stop layer 120, a three step etch may be performed to
`the position shown in FIG. 4 and the relative thickness of
`etch the via for the contact through ILD layer 125, etch layer
`layer 111 to layer 120 may vary from what is shown in the
`120, and silicate glass layer 111. A single wafer,
`low
`figures. Additional process steps that may be used to make
`frequency, parallel plate etcher may be used. The etch
`the embodiments described above have been omitted when
`chemistry preferably employs Freon gases, e.g., CHF3, CF,,
`not useful to describe aspects of the present invention.
`C.F, as the active species. The etch chemistry may also
`Although the foregoing description has specified an inte-
`include conventionally used amounts of argon and oxygen
`grated circuit that includes certain features, and has specified
`and/or nitrogen. By using an etch chemistry that has high
`certain materials and process steps for making such an
`etch selectivity for the material used to make ILD layer 125,
`integrated circuit, those skilled in the art will appreciate that
`when comparedto the selectivity of silicon nitride layer 120,
`many modifications and substitutions may be made.
`layer 120 mayact as an etch stop preventing that etch step
`Accordingly,
`it
`is intended that all such modifications,
`from reaching shallow trench isolation region 109.
`alterations, substitutions and additions be considered to fall
`After ILD layer 125 is etched,silicon nitride layer 120 is
`within the spirit and scope of the invention as defined by the
`etched. Silicon nitride layer 120 may be etched using the
`appended claims.
`same equipmentused to etch ILD layer 125, but employing
`Whatis claimedis:
`an etch chemistry having a high selectivity to silicon nitride,
`when compared to the selectivity of the material used to
`make layer 111. After layer 120 is etched,silicate glass layer
`111 is etched. Silicate glass layer 111 may be etched using
`the same equipment and etch chemistry used to etch ILD
`layer 125, while modifying the process to account for the
`differences in thickness between those two layers. Although
`a three step etch process is preferred for making the via for
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`1. A method for forming an integrated circuit comprising:
`forming a conductive region on a semiconductor sub-
`strate;
`forming a silicate glass layer on the conductive region;
`forming an etch stop layer on the silicate glass layer; and
`forming a borderless contact coupled to the conductive
`region by etching through the etch stop layer,
`then
`
`

`

`US 6,228,777 B1
`
`10
`
`8. The method of claim 7 wherein the undoped silicon
`dioxide layer formed on the conductive region is between
`about 200 and about 400 angstroms thick, and the silicon
`nitride layer is between about 200 and about 500 angstroms
`thick.
`
`6
`forming a silicon nitride layer on the undoped silicon
`dioxide layer;
`forming a PSG layer on the silicon nitride layer; and
`forming a borderless contact coupled to the conductive
`region by etching through the siliconnitride layer, then
`etching through the undoped silicon dioxide layer to
`form a via,
`then filling the via with a conductive
`material.
`
`5
`etching through the silicate glass layer to form a via,
`then filling the via with a conductive material.
`2. The method of claim 1 wherein thesilicate glass layer
`comprises a silicon dioxide layer; and the etch stop layer
`comprises silicon nitride, and further comprising forming a
`phosphosilicate glass (PSG) layer on the silicon nitride
`layer.
`3. The method of claim 2 wherein the borderless contact
`is formed by etching a via through the PSG layer, the silicon
`nitride layer, and the silicon dioxidelayer, then filling the via
`with a conductive material.
`4. The method of claim 3 wherein the silicon nitride layer
`is formed using a hot wall chemical vapor deposition
`process.
`9. The method of claim 8 wherein the silicon nitride layer
`5. The method of claim 4 wherein the via is etched
`is formed using a hot wall chemical vapor deposition
`through the PSG layer,
`the silicon nitride layer, and the
`process and the silicon dioxide and PSG layers are both
`silicon dioxide layer in three separate etching steps.
`formed using a plasma enhanced chemical vapor deposition
`6. The method of claim 5 wherein the borderless contact
`process.
`is formed by successively depositing in the via relatively
`10. The method of claim 9 further comprising etching a
`thin titantum and titanium nitride layers and a relatively
`via through the PSG layer, the silicon nitride layer, and the
`thick tungsten plug.
`silicon dioxide layer in three separate etch steps,
`then
`7. Amethodfor forming an integrated circuit comprising:
`successively depositing in the via relatively thin titantum
`forming a conductive region on a semiconductor sub-
`and titanium nitride layers andarelatively thick tungsten
`strate;
`plug.
`25
`
`20
`
`forming an undopedsilicon dioxide layer on the conduc-
`tive region;
`
`

`

`PATENT NO.—: 6,228,777 BI Page | of |
`
`
`DATED
`: May 8, 2001
`INVENTOR(S): Arafa et al.
`
`It is certified that error appears in the above-identified patent and that said Letters Patentis
`hereby corrected as shown below:
`
`Column 2
`Line 24, delete "suicides" and insert -- silicides --,
`Line 32, delete "suicides" and insert -- silicides --.
`
`UNITED STATES PATENT AND TRADEMARK OFFICE
`CERTIFICATE OF CORRECTION
`
`Director of the United States Patent and Trademark Office
`
`Signed and Sealedthis
`
`Seventh Day of May, 2002
`
`JAMES E. ROGAN
`
`Attest:
`
`Attesting Officer
`
`

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket