throbber
(12) United States Patent
`
`
`Ding et al.
`
`
`
`
`
`
`(10) Patent N0.:
`
`
`(45) Date of Patent:
`
`
`
`
`
`US 6,887,353 B1
`
`
`May 3, 2005
`
`US006887353B1
`
`
`
`S. M. Rossnagel and J. Hopwood, “Metal ion deposition
`
`
`
`
`
`
`
`
`from ionized magnetron sputtering discharge”, J. Vac. Sci.
`
`
`
`
`
`
`
`Technol. B, vol. 12, \lo. 1, pp. 449-453 (Jan./Feb. 1994).
`
`
`
`
`
`
`
`
`
`S. M. Rossnagel et al., “Thin, high atomic weight refractory
`
`
`
`
`
`
`
`
`film deposition for di usion barrier, adhesion layer, and seed
`
`
`
`
`
`
`
`
`
`layer applications”, J. Vac. Sci. Tec/znal. B, vol. 14, No. 3,
`
`
`
`
`
`
`
`
`
`pp. 1819-1827 (May/Jun. 1996).
`
`
`
`
`C. Steinbruchel, “Patterning of Copper for Multilevel Met-
`
`
`
`
`
`
`
`allization: Reactive Ion Etching and Chemical-Mechanical
`
`
`
`
`
`
`Polishing”, Applied Surface Science, 91, pp. 139-146
`
`
`
`
`
`
`
`(1995).
`
`U.S. Appl. No. 08/824,911, filed Mar. 27, 1997, of Ngan et
`
`
`
`
`
`
`
`
`
`al.
`
`U.S. Appl. No. 08/863,451, filed May. 27, 1997, of Chiang
`
`
`
`
`
`
`
`
`et al.
`
`U.S. Appl. No. 08/924,487, filcd Aug. 23, 1997, of Ngan ct
`
`
`
`
`
`
`
`
`al.
`
`
`
`
`
`
`* cited by examiner
`
`
`
`
`
`
`
`(57)
`
`Primary Examiner—Patrick Ryan
`
`
`
`Assistant Examiner—Julian Mercado
`
`
`
`(74) Attorney, Agent, or Firm—Shirley L. Church
`
`
`
`
`
`ABSTRACT
`
`
`Disclosed herein is a barrier layer structure useful in forming
`
`
`
`
`
`
`
`copper interconnects and electrical contacts of semiconduc-
`
`
`
`
`
`
`tor devices. The barrier layer structure comprises a first layer
`
`
`
`
`
`
`
`
`
`of TaN,, which is applied directly over the substrate, fol-
`
`
`
`
`
`
`
`
`
`lowed by a second layer of Ta. The TaNx/Ta barrier layer
`
`
`
`
`
`
`
`
`
`structure provides both a barrier to the diffusion of a copper
`
`
`
`
`
`
`
`layer deposited thereover, and enables the formation of a
`
`
`
`
`
`
`
`
`copper layer having a high <111> crystallographic content
`
`
`
`
`
`
`
`so that
`the electromigration resistance of the copper is
`
`
`
`
`
`
`
`
`mere ased. The TaNx layer, where x ranges from about 0.1 to
`
`
`
`
`
`
`
`
`
`about 1.5, is suificiently amorphous to prevent the diffusion
`
`
`
`
`
`
`
`of copper into the underlying substrate, which is typically
`
`
`
`
`
`
`
`
`silicon or a dielectric such as silicon dioxide. The thickness
`
`
`
`
`
`
`
`of the TaN,. and Ta layers used for an interconnect depend on
`
`
`
`
`
`
`
`
`
`the feature size and aspect ratio; typically, the TaN,_ layer
`
`
`
`
`
`
`
`
`
`
`thickness ranges from about 50 A to about 1,000 A, while
`
`
`
`
`
`
`
`the Ta layer thickness ranges from about 20 A to about 500
`
`
`
`
`
`
`
`
`A. For a Contact via, the permissible layer thickness on the
`
`
`
`
`
`
`
`
`
`via walls must be even more carefully controlled based on
`
`
`
`
`
`
`
`
`feature size and aspect ratio;
`typically,
`the TaNx layer
`
`
`
`
`
`
`
`
`
`thickness ranges from about 10 A to about 300 A, while the
`
`
`
`
`
`
`
`
`Ta layer thickness ranges from about 5 A to about 300
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`The copper layer is deposited at the thickness desired to suit
`the needs of the device. The copper layer may be deposited
`
`
`
`
`
`
`
`
`
`using any of the preferred techniques known in the art.
`
`
`
`
`
`
`
`
`Preferably, the entire copper layer, or at least a “seed” layer
`
`
`
`
`
`
`
`
`is deposited using physical vapor deposition
`of copper,
`
`
`
`
`
`
`
`techniques such as sputtering or evaporation, as opposed to
`
`
`
`
`
`CVD or electroplating. Since the crystal orientation of the
`
`
`
`
`
`
`
`
`copper is sensitive to deposition temperature, and since the
`
`
`
`
`
`
`
`copper may tend to dewet/delaminate from the barrier layer
`
`
`
`
`
`
`
`
`if the temperature is too high, it is important that the copper
`
`
`
`
`
`
`
`
`
`
`be deposited and/or annealed at a temperature of less than
`
`
`
`
`
`
`
`about 500° C., and preferably at a temperature of less than
`
`
`
`
`
`
`
`
`about 300° C.
`
`
`
`7 Claims, 2 Drawing Sheets
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`TAILORED BARRIER LAYER WHICH
`
`
`
`
`l’R()Vll)ES IMPROVE!) C()l’l’ER
`
`
`
`INTERCONNECT ELECTROMIGRATION
`
`RESISTANCE
`
`
`
`
`Inventors: Peijun Ding, San Jose, CA (US); Tony
`
`
`
`
`
`
`
`
`Chiang, Mountain View, CA (US);
`
`
`
`
`Barry L. Chin, Saratoga, CA (US)
`
`
`
`
`
`
`Assignee: Applied Materials, Inc., Santa Clara,
`
`
`
`
`
`
`(IA (us)
`
`
`Subject to any disclaimer, the term of this
`
`
`
`
`
`
`patent is extended or adjusted under 35
`
`
`
`
`
`U.S.C. 154(b) by 863 days.
`
`
`
`
`
`Notice:
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`U.S. Cl.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Appl. No.: 08/995,108
`
`
`
`Filed:
`Dec. 19, 1997
`
`
`
`
`Int. Cl.7 ....................... .. C23C 14/00; c23C 14/32;
`
`
`
`
`
`
`
`1101 I. 21/44
`
`
`.......................... .. 204/192.15; 204/192.17;
`
`
`
`204/192.22; 204/192.25; 438/656
`
`
`
`Field of Search ..................... .. 204/192.15, 192.17,
`
`
`
`
`
`204/192.22, 192.25; 438/652, 656, 660
`
`
`
`
`
`References Cited
`
`
`U.S. PATENT DOCUMENTS
`
`
`
`3/1982
`4,319,264
`Gangulee et al.
`........... .. 357/71
`
`
`
`
`
`
`1/1991
`Hoshino ........ ..
`257/751
`4,985,750
`2/1993
`
`
`
`
`
`5,186,718
`Tepman et al.
`.. 29/25.01
`
`
`
`
`
`8/1993
`Nulman ......... ..
`437/190
`5,236,868
`
`
`
`
`8/1993
`Hindman et al.
`438/656
`5,240,880
`
`
`
`
`
`1/1994
`5,281,485
`Colgan ct al.
`428/457
`6/1994
`5,320,728
`Tcpman ...... ..
`204/192
`Gelatos et al.
`............ .. 438/643
`2/1995
`5,391,517
`Nulman et al.
`........... .. 438/653
`5/1996
`5,521,120
`11/1996
`438/642
`Chen et al.
`..... ..
`
`
`
`
`
`
`5,571,752
`
`
`
`
`
`
`10/1997
`451/57
`Landeis et al.
`5,676,587
`
`
`
`
`
`
`1/1998
`5,707,498
`*
`204/192.12
`Ngan ...... ..
`
`
`
`
`
`8/1998
`Kim ......................... .. 438/660
`*
`5,795,796
`
`
`
`
`
`FOREIGN PATENT DOCUMENTS
`
`
`
`0 570 205 A1
`11/1993
`....... .. H01L/21/321
`0 751 566 A2
`1/1997
`
`
`
`
`
`
`
`....... .. H01L/23/532
`
`
`
`
`
`
`
`OTHER PUBLICATIONS
`
`
`Karen Holloway et al., “Tantalum as a Diffusion as a
`
`
`
`
`
`
`
`D1 ‘usion Barrier Between Copper and Silicon: Failure
`
`
`
`
`
`
`
`Mechanism and Effect of Nitrogen Additions”, J. Appl.
`
`
`
`
`
`
`
`Phys. 71 (11), Jun. 1, 1992, pp. 5433-5444.
`
`
`
`
`
`
`
`Katsutaka Sasaki et al., “Stoichiometry of Ta-N Film and Its
`
`
`
`
`
`
`
`Application for Diffusion Barrier in the A13 Ta/Ta-N/Si
`
`
`
`
`
`
`
`
`Contact System”, Japanese Journal of Applied Physics, vol.
`
`
`
`
`
`
`
`29, No. 6, Jun. 1990, pp. 1043-1047.
`
`
`
`
`
`
`E. M. Zielinski et al., “The Effects of Processing on the
`
`
`
`
`
`
`
`
`
`
`Microstructure of Copper Thin Films on Tantalum Barrier
`
`
`
`
`
`
`
`Layers”, Mat. Res. Soc. Symp. Proc. vol. 391, (1995,) pp
`
`
`
`
`
`
`
`
`
`
`303-308.
`
`PCT International Search Report dated Mar. 25, 1999.
`
`
`
`
`
`
`
`
`Gang Bai et al., “Copper Interconnection Depostion Tecl1-
`
`
`
`
`
`
`
`niques and Integration”, 1996 Symposium on VLSI Tech-
`
`
`
`
`
`
`
`nology, Digests of Technical Papers ($7803-3342-X/'96,
`
`
`
`
`
`
`IEEE).
`
`
`TSMC Exhibit 1005
`
`Page 1 of 9
`
`

`
`
`metaP3U
`
`
`
`
`
`
`
`
`
`
`
`
`
`US 6,887,353 B1
`
`H2aQ91mnA.
`m.nm2n\.‘1u\.mmN._.\mI
`aI1MV.
`
`
`
`
`
`
`
`
`
`Page 2 of 9
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 2 of 9
`
`
`

`
`2M2m
`
`US 6,887,353 B1
`
`s9.5mit
`
`
`
`
`
`3\€3£\z£s\22;2\z23\252\z2
`
`E
`
`
`
`5\2:%<z235:53223;:53
`
`Nam
`
`U
`
`23:WM
`
`om%_E_.mExz:2.=5532;SSN
`
`&SN288¢
`
`Page 3 of 9
`
`Page 3 of 9
`
`
`

`
`
`
`US 6,887,353 B1
`
`1
`
`TAILORED BARRIER LAYER WHICH
`
`
`
`
`PROVIDES IMPROVED COPPER
`
`
`
`INTERCONNECT ELECTROMIGRATION
`
`RESISTANCE
`
`
`
`
`
`
`BACKGROUND OF THE INVENTION
`
`
`
`1. Field of the Invention
`
`
`
`
`The present invention pertains to a particular TaNx/Ta
`
`
`
`
`
`
`
`barrier/wetting layer structure which increases the degree of
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`{111} crystal orientation in an overlying copper layer,
`
`
`
`
`
`
`thereby providing improved electromigration resistance of
`the copper.
`
`
`2. Brief Description of the Background Art
`
`
`
`
`
`
`As microelectronics continue to miniaturize, interconnec-
`
`
`
`
`
`tion performance, reliability, and power consumption has
`
`
`
`
`
`
`
`become increasingly important, and interest has grown in
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`replacing aluminum alloys with lower-resistivity and higher-
`reliability metals. Copper offers a significant improvement
`
`
`
`
`
`
`over aluminum as a contact and interconnect material. For
`
`
`
`
`
`
`
`example, the resistivity of copper is about 1.67 y§2cm, which
`
`
`
`
`
`
`
`
`is only about half of the resistivity of aluminum.
`
`
`
`
`
`
`
`
`There are two principal competing technologies under
`
`
`
`
`
`
`
`evaluation by material and process developers working to
`
`
`
`
`
`
`enable the use of copper. The first technology is known as
`
`
`
`
`
`
`
`
`damascene technology. In this technology, a typical process
`
`
`
`
`
`
`for producing a multilevel structure having feature sizes
`
`
`
`
`
`
`
`(i.e., width of the aperture) in the range of 0.5 micron
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`or less would include: blanket deposition of a dielectric
`material; patterning of the dielectric material to form open-
`
`
`
`
`
`
`
`ings; deposition of a diffusion barrier layer and, optionally,
`
`
`
`
`
`
`
`a wetting layer to line the openings; deposition of a copper
`
`
`
`
`
`
`
`
`layer onto the substrate in sufficient thickness to fill the
`
`
`
`
`
`
`
`
`
`openings; and removal of excessive conductive material
`
`
`
`
`
`
`
`from the substrate surface using chemical-mechanical pol-
`
`
`
`
`
`
`
`ishing (CMP)
`techniques. The damascene process is
`
`
`
`
`
`
`
`described in detail by C. Steinbruchel in “Patterning of
`
`
`
`
`
`
`
`
`copper for multilevel metallization: reactive ion etching and
`
`
`
`
`
`
`
`
`chemical-mechanical polishing”, Applied Surface Science
`
`
`
`
`
`91 (1995)139—146.
`
`
`The competing technology is one which involves the
`
`
`
`
`
`
`
`patterned etch of a copper layer. In this technology, a typical
`
`
`
`
`
`
`
`process would include deposition of a copper layer on a
`
`
`
`
`
`
`
`
`desired substrate (typically a dielectric material having a
`
`
`
`
`
`
`barrier layer on its surface); application of a patterned hard
`
`
`
`
`
`
`mask or photoresist over the copper layer; pattern etching of
`
`
`
`
`
`
`
`
`the copper layer using wet or dry etch techniques; and
`
`
`
`
`
`
`
`
`
`deposition of a dielectric material over the surface of the
`
`
`
`
`
`
`
`
`
`patterned copper layer, to provide isolation of conductive
`
`
`
`
`
`
`
`
`lines and contacts which comprise various integrated cir-
`
`
`
`
`
`
`
`
`cuits.
`
`Typically, the copper layer can be applied using sputtering
`
`
`
`
`
`
`
`
`techniques well known in the art. The sputtering of copper
`
`
`
`
`
`
`
`
`provides a much higher deposition rate than evaporation or
`
`
`
`
`
`
`
`CVD (chemical vapor deposition) and provides a purer
`
`
`
`
`
`
`
`copper film than CVD.
`
`
`
`
`In integrated circuit interconnect structures where copper
`
`
`
`
`
`
`
`is the material used to form conductive lines and contacts, it
`
`
`
`
`
`
`
`
`
`is recognized that copper diffuses rapidly into adjacent
`
`
`
`
`
`
`
`
`layers of SiO2 and silicon and needs to be encapsulated.
`
`
`
`
`
`
`
`
`
`Gang Bai et al.
`in “Copper Interconnection Deposition
`
`
`
`
`
`
`
`Techniques and Integration”, 1996 Symposium on VLSI
`
`
`
`
`
`
`
`
`
`
`
`
`Technology, Digests of Technical Papers (0-7803-3342-X/
`96, IEEE), describe the effectiveness of Ta, TiN, W and Mo
`
`
`
`
`
`
`
`as barrier layers for use with copper. They concluded that Ta
`
`
`
`
`
`
`
`
`
`
`
`10
`
`
`
`15
`
`
`
`20
`
`
`
`25
`
`
`
`30
`
`
`
`35
`
`
`
`40
`
`45
`
`
`
`50
`
`
`
`55
`
`
`
`60
`
`
`
`65
`
`
`
`2
`
`annealed in UHV (ultra high vacuum) after copper deposi-
`
`
`
`
`
`
`
`
`tion provided the best barrier layer. Sputtered copper
`
`
`
`
`
`
`
`
`appeared to be preferable over CVD copper and over elec-
`
`
`
`
`
`
`
`
`
`troplated copper, although all
`the data for electroplated
`
`
`
`
`
`
`
`
`copper was not available at the time of presentation of the
`
`
`
`
`
`
`
`
`paper.
`
`U.S. Pat. No. 4,319,264 of Gangulee et al., issued Mar. 9,
`
`
`
`
`
`
`
`
`1982 and titled “Nickel-gold-nickel Conductors For Solid
`
`
`
`
`
`
`
`State Devices” discusses the problem of electromigration in
`
`
`
`
`
`
`solid state devices. In particular, the patent discusses the
`
`
`
`
`
`
`
`
`
`application of direct current over particular current density
`
`
`
`
`
`
`
`ranges which induces motion of the atoms comprising the
`
`
`
`
`
`
`
`
`thin film conductor, the effect known as electromigration.
`
`
`
`
`
`
`
`Electromigration is said to induce crack or void formation in
`
`
`
`
`
`
`the conductor which, over a period of time, can result in
`
`
`
`
`
`
`
`
`
`
`conductor failure. The rate of electromigration is said to be
`
`
`
`
`
`
`
`dependent on the current density imposed on the conductor,
`
`
`
`
`
`
`
`the conductor temperature, and the properties of the con-
`
`
`
`
`
`
`
`
`
`ductor material. In high current density applications, poten-
`
`
`
`
`
`
`
`tial conductor failure due to electromigration is said to
`
`
`
`
`
`
`
`severely limit the reliability of the circuit. In discussing the
`
`
`
`
`
`
`
`
`
`various factors affecting performance of the conductive
`
`
`
`
`
`
`
`materials, grain structure is mentioned as being important.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`(In order to obtain adequate lithographic line width
`resolution, it is recommended that the film be small grained,
`
`
`
`
`
`
`
`
`with a grain size not exceeding about one-third of the
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`required line width.) Uniformity of grain size and preferred
`crystallographic orientation of the grains are also said to be
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`factors which promote longer (electromigration limited)
`conductor lifetimes. Fine grained films are also described as
`
`
`
`
`
`
`
`being smoother, which is a desirable quality in semiconduc-
`
`
`
`
`
`
`tor applications, to lessen difficulties associated with cov-
`
`
`
`
`
`
`
`ering the conductor with an overlayer.
`
`
`
`
`
`U.S. Pat. No. 5,571,752 to Chen et al., issued Nov. 5,
`
`
`
`
`
`
`
`
`1996, discloses a method for patterning a submicron semi-
`
`
`
`
`
`
`
`conductor layer of an integrated circuit. In one embodiment
`
`
`
`
`
`
`
`describing an aluminum contact, titanium or titanium nitride
`
`
`
`
`
`
`having a thickness of between approximately 300 and 2,000
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`A is formed by sputter deposition to reach the bottom of a
`contact opening. Finally, a second conductive layer, typi-
`
`
`
`
`
`
`
`cally aluminum, is applied over the surface of the conformal
`
`
`
`
`
`
`
`
`conductive layer. The aluminum is sputtered on, preferably
`
`
`
`
`
`
`
`at a temperature ranging between approximately 100° C. and
`
`
`
`
`
`
`
`400° C. This method is said to make possible the filling of
`
`
`
`
`
`
`
`
`contact openings having smaller device geometry design
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`requirements by avoiding the formation of fairly large grain
`sizes in the aluminum film.
`
`
`
`
`As described in U.S. patent application Ser. No. 08/824,
`
`
`
`
`
`
`
`
`911, of Ngan et al., filed Mar. 27, 1997 and commonly
`
`
`
`
`
`
`
`
`
`
`assigned with the present invention, efforts have been made
`
`
`
`
`
`
`
`
`
`to increase the <111> crystallographic content of aluminum
`
`
`
`
`
`
`
`as a means of improving electromigration of aluminum. In
`
`
`
`
`
`particular,
`the <111> content of an aluminum layer was
`
`
`
`
`
`
`
`
`
`controlled by controlling the thickness of various barrier
`
`
`
`
`
`
`
`
`layers underlying the aluminum layer. The underlying bar-
`
`
`
`
`
`
`
`
`rier layer structure was Ti/TiN/TiNx, which enabled alumi-
`
`
`
`
`
`
`
`
`num filling of high aspect vias while providing an aluminum
`
`
`
`
`
`
`
`
`fill exhibiting the high degree of aluminum <111> crystal
`
`
`
`
`
`
`
`
`orientation. The Ti/TiN/TiNx barrier layer was deposited
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`using IMP (ion metal plasma) techniques, and the barrier
`layer thicknesses were as follows. The thickness of the first
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`layer of Ti ranges from greater than about 100 A to about
`
`
`
`
`
`
`
`500 A (the feature geometry controls the upper thickness
`limit). The thickness of the TiN second layer ranges from
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`greater than about 100 A to less than about 800 A
`
`
`
`
`
`
`
`
`
`
`(preferably, less than about 600
`And, the TiNx third layer
`(having a Ti content ranging from about 50 atomic percent
`
`
`
`
`
`
`
`
`Page 4 of 9
`
`Page 4 of 9
`
`

`
`
`
`
`
`US 6,887,353 B1
`
`10
`
`
`
`15
`
`
`
`20
`
`
`
`25
`
`
`
`30
`
`
`
`35
`
`
`
`40
`
`
`
`45
`
`
`
`50
`
`
`
`55
`
`
`
`60
`
`
`
`65
`
`
`
`
`3
`titanium to about 100 atomic percent titanium) ranges from
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`about 15 A to about 500
`A Ti/TiN/TiNx barrier layer
`having this structure, used to line a contact via, is described
`
`
`
`
`
`
`
`
`as enabling complete filling of via with sputtered warm
`
`
`
`
`
`
`
`
`
`aluminum, where the feature size of the via or aperture is
`
`
`
`
`
`
`
`
`
`about 0.25 micron or less and the aspect ratio ranges from
`
`
`
`
`
`
`
`
`
`
`about 5:1 to as high as about 6:1.
`
`
`
`
`
`
`Subsequently, in U.S. Pat. No. 5,882,399, of Ngan et al.,
`
`
`
`
`
`
`
`issued Mar. 16, 1999, the inventors disclose that to maintain
`
`
`
`
`
`
`
`
`
`a consistently high aluminum <111> crystal orientation
`
`
`
`
`
`
`
`content of an interconnect during the processing of a series
`
`
`
`
`
`
`of semiconductor substrates in a given process chamber, it is
`
`
`
`
`
`
`necessary to form the first deposited layer of the barrier layer
`
`
`
`
`
`
`
`
`to a minimal thickness of at least about 150 A, to compen-
`
`
`
`
`
`
`
`sate for irregularities in the crystal orientation which may be
`
`
`
`
`
`
`
`
`present during the initial deposition of this layer when the
`
`
`
`
`
`
`
`
`process chamber is initially started up (and continuing for
`
`
`
`
`
`
`
`
`the first 7-8 wafers processed). Ngan et al. teach that in the
`
`
`
`
`
`
`
`
`
`
`case of a copper conductive layer, it may also be necessary
`
`
`
`
`
`
`
`that the first layer of a barrier layer structure underlying the
`
`
`
`
`
`
`
`
`
`copper layer have a minimal thickness of at least about 150
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`to enable a consistent crystal orientation within the
`A,
`copper layer during the processing of a series of wafers in a
`
`
`
`
`
`
`
`semiconductor chamber.
`
`SUMMARY OF THE INVENTION
`
`
`
`
`
`
`We have discovered that tantalum nitride (TaNx is a
`
`
`
`
`
`
`
`
`better barrier layer for copper than tantalum (Ta). However,
`
`
`
`
`
`
`
`
`
`copper deposited directly over TaNx does not exhibit a
`
`
`
`
`
`
`
`
`sufficiently high degree of <111> crystal orientation to
`
`
`
`
`
`
`
`
`provide the desired copper electromigration characteristics.
`
`
`
`
`
`
`We have developed a barrier layer structure comprising a
`
`
`
`
`
`
`
`layer of Ta overlying a layer of TaNx which provides both a
`
`
`
`
`
`
`
`barrier to the diffusion of a copper layer deposited thereover,
`
`
`
`
`
`
`
`and enables the formation of a copper layer having a high
`
`
`
`
`
`
`
`
`<111> crystallographic content, so that copper electromi-
`
`
`
`
`
`
`
`gration resistance is increased.
`
`
`
`The TaNx layer, where X ranges from about 0.1 to about
`
`
`
`
`
`
`
`
`
`1.5, is sufficiently amorphous to prevent the diffusion of
`
`
`
`
`
`
`
`
`copper into underlying silicon or silicon oxide surfaces. The
`
`
`
`
`
`
`
`
`desired thickness for the TaNx layer is dependent on the
`
`
`
`
`
`
`
`
`
`device structure. For a typical interconnect, the TaNx layer
`
`
`
`
`
`
`
`
`thickness ranges from about 50 A to about 1,000
`For a
`
`
`
`
`
`
`
`contact, the TaNx layer, the thickness on the wall of a contact
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`via ranges from about 10 A to about 300 A, depending on
`the feature size. The TaNx layer is preferably deposited using
`
`
`
`
`
`
`
`
`
`standard reactive ion sputtering techniques at a substrate
`
`
`
`
`
`
`temperature ranging from about 20° C. to about 500° C.
`
`
`
`
`
`
`
`
`
`However, ion deposition sputtering techniques may be used
`
`
`
`
`
`
`
`to deposit this layer.
`
`
`
`
`The Ta layer deposited over the TaNx layer has a desired
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`thickness ranging from about 5 A to about 500 A, wherein
`
`
`
`
`
`
`
`the thickness is preferably greater than about 20 A, depend-
`ing on the feature size. The Ta layer is preferably deposited
`
`
`
`
`
`
`
`
`using standard ion sputtering techniques at a substrate
`
`
`
`
`
`
`
`temperature ranging from about 20° C. to about 500° C.
`
`
`
`
`
`
`
`
`
`However, ion deposition sputtering techniques may be used
`
`
`
`
`
`
`
`to deposit this layer.
`
`
`
`
`The copper layer is deposited at the thickness desired to
`
`
`
`
`
`
`
`the needs of the device. The copper layer may be
`suit
`
`
`
`
`
`
`
`
`
`
`deposited using any of the preferred techniques known in the
`
`
`
`
`
`
`
`
`art. Preferably, the entire copper layer or at least a “seed”
`
`
`
`
`
`
`
`
`
`layer of copper is deposited using physical vapor deposition
`
`
`
`
`
`
`
`techniques such as sputtering or evaporation, as opposed to
`
`
`
`
`
`CVD. Since the crystal orientation of the copper is sensitive
`
`
`
`
`
`
`
`
`to deposition temperature, it is important that the maximum
`
`
`
`
`
`
`
`
`
`Page 5 of 9
`
`4
`
`temperature of the copper either during deposition or during
`
`
`
`
`
`
`
`subsequent annealing processes not be higher than about
`
`
`
`
`
`
`
`
`500° C. Preferably, the maximum temperature is about 300°
`
`
`
`
`
`
`
`C.
`
`We have also developed a method of producing a copper
`
`
`
`
`
`
`
`interconnect structure comprising a copper layer deposited
`
`
`
`
`
`
`over a barrier layer structure of the kind described above,
`
`
`
`
`
`
`
`
`
`comprising a Ta layer overlying a TaNx layer, where the Cu
`
`
`
`
`
`
`
`<111> crystallographic content is at least 70% of the Cu
`
`
`
`
`
`
`
`
`<111> crystallographic content which can be obtained by
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`depositing the copper layer over a pure Ta barrier layer
`which is about 500 A thick. The method comprises the steps
`
`
`
`
`
`
`
`
`
`of:
`
`
`
`
`
`
`
`
`
`a) depositing a first layer of TaNx having a thickness
`ranging from greater than about 50 A to about 1,000 A;
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`b) depositing a second olayer of Ta haying a thickness
`ranging from about 5 A to about 500 A over the surface
`
`
`
`
`
`
`
`of the first layer of TaNx; and
`
`
`
`
`
`
`c) depositing a third layer of copper over the surface of the
`
`
`
`
`
`
`
`
`
`second layer of Ta, wherein at least a portion of the
`
`
`
`
`
`
`
`
`
`third layer of copper is deposited using a physical vapor
`
`
`
`
`
`
`
`deposition technique, and wherein the substrate tem-
`
`
`
`
`
`
`
`perature at which the third layer of copper is deposited
`
`
`
`
`
`
`
`is less than about 500° C.
`
`
`
`
`
`Further, we have developed a method of producing a
`
`
`
`
`
`
`copper-comprising contact via structure comprising a copper
`
`
`
`
`
`
`layer deposited over a barrier layer structure of the kind
`
`
`
`
`
`
`
`
`
`described above, comprising a Ta layer overlying a TaNx
`
`
`
`
`
`
`
`layer; wherein the Cu <111> crystallographic content is at
`
`
`
`
`
`
`
`
`least 70% of the Cu <111> crystallographic content which
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`can be obtained by depositing said copper layer over a pure
`Ta barrier layer which is about 300 A thick. The method
`
`
`
`
`
`
`
`
`
`comprises the steps of:
`
`
`
`
`
`
`
`
`
`
`
`
`a) depositing a first layer of TaNx having a thickness
`ranging from greater than about 10 A to about 300 A;
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`b) depositing a second olayer of Ta haying a thickness
`ranging from about 5 A to about 300 A over the surface
`
`
`
`
`
`
`
`of said first layer of TaNx; and
`
`
`
`
`
`
`c) depositing a third layer of copper over the surface of the
`
`
`
`
`
`
`
`
`
`second layer of Ta, wherein at least a portion of the
`
`
`
`
`
`
`
`
`
`third layer of copper is deposited using a physical vapor
`
`
`
`
`
`
`
`deposition technique, and wherein the substrate tem-
`
`
`
`
`
`
`
`perature at which the third layer of copper is deposited
`
`
`
`
`
`
`
`is less than about 500° C.
`
`
`
`
`
`In the method of producing a copper-comprising contact
`
`
`
`
`
`
`structure described above, a least a portion of the first layer
`
`
`
`
`
`
`
`
`of TaNx, or the second layer of Ta, or the third layer of Cu,
`
`
`
`
`
`
`
`
`
`
`or at least a portion of more than one of these three layers
`
`
`
`
`
`
`
`
`
`may be deposited using ion-deposition sputtering, where at
`
`
`
`
`
`
`least a portion of the sputtered emission is in the form of ions
`
`
`
`
`
`
`
`
`at the time the emission reaches the substrate surface, and
`
`
`
`
`
`
`
`
`
`
`where, typically 10% or more of the sputtered emission is in
`
`
`
`
`
`
`
`the form of ions at
`the time the emission reaches the
`
`
`
`
`
`
`
`
`
`
`
`substrate surface.
`
`
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`
`
`
`FIG. 1 shows a schematic of a cross sectional view of a
`
`
`
`
`
`
`sputtering chamber of the kind which can be used to deposit
`
`
`
`
`
`
`
`
`the barrier layer of the present invention.
`
`
`
`
`
`
`FIG. 2 shows a graph representative of the copper <111>
`
`
`
`
`
`
`crystal orientation on a TaNx/Ta barrier layer as a function
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`of the thickness of the°Ta layer, with the TaNx layer held
`constant at about 500 A.
`
`
`
`DETAILED DESCRIPTION OF THE
`
`
`PREFERRED EMBODIMENTS
`
`
`The present disclosure pertains to a TaNx/Ta/Cu structure
`
`
`
`
`
`and a method of creating that structure. The TaNx/Ta barrier
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Page 5 of 9
`
`

`
`
`
`US 6,887,353 B1
`
`
`6
`reference. In such a traditional sputtering configuration, the
`
`
`
`
`
`
`percentage of target material which is ionized is less than
`
`
`
`
`
`
`
`
`10%, more typically less than 1%, of that sputtered from the
`
`
`
`
`
`
`
`
`
`
`target.
`The term “XRD” (X-ray Diffraction) refers to a technique
`
`
`
`
`
`
`commonly used to measure crystalline orientation, wherein
`
`
`
`
`
`
`radiation over particular wavelengths is passed through the
`
`
`
`
`
`
`
`to be characterized, and the diffraction of the
`material
`
`
`
`
`
`
`
`
`
`radiation, caused by the material through which it passes, is
`
`
`
`
`
`
`measured. A map is created which shows the diffraction
`
`
`
`
`
`
`pattern, and the crystal orientation is calculated based on this
`
`
`
`
`
`
`
`
`map.
`
`
`
`
`
`
`
`
`
`A “traditionally sputtered” tantalum nitride-comprising
`film or layer is deposited on a substrate by contacting a
`
`
`
`
`
`
`
`tantalum target with a plasma created from an inert gas such
`
`
`
`
`
`
`
`
`
`as argon in combination with nitrogen gas. A portion of the
`
`
`
`
`
`
`
`
`tantalum sputtered from the target reacts with nitrogen gas
`
`
`
`
`
`
`
`
`
`which has been activated by the plasma to produce tantalum
`
`
`
`
`
`
`
`nitride, and the gas phase mixture contacts the substrate to
`
`
`
`
`
`
`
`
`
`form a layer on the substrate.
`
`
`
`
`
`II. An Apparatus for Practicing the Invention
`
`
`
`
`
`
`
`
`
`A process system in which the method of the present
`
`
`
`
`
`
`
`
`invention may be carried out is the Applied Materials, Inc.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`(Santa Clara, Calif.) Endura® Integrated Processing System.
`This process system is not specifically shown in the Figures.
`
`
`
`
`
`
`
`
`However, the system is generally known in the semicon-
`
`
`
`
`
`
`
`ductor processing industry and is shown and described in
`
`
`
`
`
`
`
`U.S. Pat. Nos. 5,186,718 and 5,236,868, the disclosures of
`
`
`
`
`
`
`
`
`which are incorporated by reference. A schematic of a
`
`
`
`
`
`
`
`typical sputtering apparatus useful in forming the smooth-
`
`
`
`
`
`
`
`surfaced TaNx/Ta barrier layer of the present invention is
`
`
`
`
`
`
`
`
`shown in FIG. 1. Sputtering apparatus 100 includes a
`
`
`
`
`
`
`
`sputtering target 110 which has two major surfaces, a back
`
`
`
`
`
`
`
`
`
`surface 112 from which heat is removed, and a front surface
`
`
`
`
`
`
`
`
`
`114 which is the sputtering surface. The sputtered material
`
`
`
`
`
`
`
`
`is deposited on the surface of semiconductor workpiece 116
`
`
`
`
`
`
`
`which is supported on platen 118. The spacing between the
`
`
`
`
`
`
`
`
`workpiece 116 and the target 110 may be adjusted by
`
`
`
`
`
`
`
`
`
`moving the platen 118. The sputtering target (cathode) 110
`
`
`
`
`
`
`
`
`operates at power levels up to about 24 kW. An ionized gas,
`
`
`
`
`
`
`
`typically generated from an inert gas such as argon is used
`
`
`
`
`
`
`
`
`to impact sputtering target 110, to produce sputtered metal
`
`
`
`
`
`
`
`
`atoms which are deposited on workpiece 116. The inert gas
`
`
`
`
`
`
`
`
`
`enters vacuum chamber 117 in the vicinity to target 112
`
`
`
`
`
`
`
`
`
`through openings which are not shown on FIG. 1. Additional
`
`
`
`
`
`
`
`gas may enter vacuum chamber 117 from the surface of
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`workpiece support platen 118, which includes openings (not
`shown) in its surface to permit the flow of heat transfer gas
`
`
`
`
`
`
`
`
`
`between workpiece 116 and support platen 118. Such gases
`
`
`
`
`
`
`
`
`
`are evacuated through an opening (not shown) in vacuum
`
`
`
`
`
`
`
`chamber 117, which opening is connected to a conduit (not
`
`
`
`
`
`
`
`shown) leading to a vacuum pump (not shown). Vacuum
`
`
`
`
`
`
`
`chamber 117 can be operated at pressures ranging from
`
`
`
`
`
`
`
`
`about 0.1 mT to about 60 mT, depending on the particular
`
`
`
`
`
`
`
`
`process involved.
`
`
`
`III. A Method for Practicing the Invention
`
`
`
`
`EXAMPLE ONE
`
`
`
`
`
`
`Formation of a TaNx/Ta Barrier Layer
`
`
`
`
`To form the TaNx/Ta barrier layer structure, a tantalum
`
`
`
`
`
`
`
`
`target cathode 110 was used, and a DC power was applied
`
`
`
`
`
`
`
`
`
`to this cathode over a range from about 0.5 kW to about 8
`
`
`
`
`
`
`
`
`
`kW. The spacing between target cathode 110 and workpiece
`
`
`
`
`
`
`
`
`
`
`5
`
`layer structure enables the deposition of an overlying copper
`
`
`
`
`
`
`
`layer having a high <111> crystallographic content, so that
`
`
`
`
`
`
`
`electromigration resistance of the copper is increased.
`
`
`
`
`
`
`I. Definitions
`
`
`
`As a preface to the detailed description, it should be noted
`
`
`
`
`
`
`
`that, as used in this specification and the appended claims,
`
`
`
`
`
`
`
`
`
`the singular forms “a”, “an”, and “the” include plural
`
`
`
`
`
`
`
`
`
`referents, unless the context clearly dictates otherwise. Thus,
`
`
`
`
`
`
`
`
`for example, the term “a semiconductor” includes a variety
`
`
`
`
`
`
`
`of different materials which are known to have the behav-
`
`
`
`
`
`
`
`
`
`ioral characteristics of a semiconductor, reference to a
`
`
`
`
`
`
`“plasma” includes a gas or gas reactants activated by an RF
`
`
`
`
`
`
`glow discharge, reference to “the contact material” or “inter-
`
`
`
`
`
`
`
`connect material” includes copper and copper alloys, and
`
`
`
`
`
`
`
`
`other conductive materials which have a melting point
`
`
`
`
`
`
`
`enabling them to be sputtered over the temperature range
`
`
`
`
`
`
`
`
`described herein.
`
`
`Specific terminology of particular importance to the
`
`
`
`
`
`
`
`description of the present invention is defined below.
`
`
`
`
`
`
`The term “aspect ratio” refers to the ratio of the height
`
`
`
`
`
`
`
`
`
`
`
`dimension to the width dimension of particular openings
`
`
`
`
`
`
`into which an electrical contact is to be placed. For example,
`
`
`
`
`
`
`
`a via opening which typically extends in a tubular form
`
`
`
`
`
`
`
`
`through multiple layers has a height and a diameter, and the
`
`
`
`
`
`
`
`
`
`aspect ratio would be the height of the tubular divided by the
`
`
`
`
`
`
`
`
`diameter. The aspect ratio of a trench would be the height of
`
`
`
`
`
`
`
`
`the trench divided by the minimal travel width of the trench
`
`
`
`
`
`
`
`
`at its base.
`
`
`
`The term “contact via” or “via” refers to an electrical
`
`
`
`
`
`
`
`
`
`
`contact having an aspect ratio which is typically greater than
`
`
`
`
`
`
`
`
`1:1. A contact via most frequently extends through multiple
`
`
`
`
`
`
`
`
`layers of material to connect one electrically conductive
`
`
`
`
`
`
`
`element with another.
`
`
`
`The term “copper” includes alloys of co

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket