throbber
I Physics of Thin FIIFYEJ
`
`Advances in Research and Development
`
`PLASMA SOURCES FOR THIN FILM
`DEPOSITION AND ETCHING
`
`Edited by
`
`Maurice H. Francombe
`
`Department of Physics
`The University of Pittsburgh
`Pittsburgh, Pennsylvania
`
`John L. Vossen
`
`John Vossen Associates
`Technical and Scientific Consulting
`Bridgewater, New Jersey
`
`VOLUME 18
`
`Academic Press
`San Diego New York Boston
`London Sydney Tokyo Toronto
`
`'Rnna7a vuaum
`
`Ex.1006 p.1
`
`Ex.1006 p.1
`
`

`
`
`
`é RDflE?E ?H3bl
`
`Ex.1006 p.2
`
`Ex.1006 p.2
`
`

`
`11:" be
`*5
`
`-
`-
`-
`°k '5 Pnnted on acid-free paper @
`KC,
`C°“‘"“‘-"-"T 1‘; I994 av ACADEMIC ms,-;5_ [I5
`Au. moms gem“.-m
`No I’-III or 11.5 W3
`LICATION Mn‘ or upmnucm on
`n“wm_E
`.
`.
`DI:~lAN‘rFDkMunay_qm. M“
`on MT.CHANT{_'-RL. INC‘lUDI'~:n p“mmDFY Nsh r.LEr.“rP.on:Ic
`'
`- RECORDING. on
`-
`mo arr:
`my |?h'FORM.g‘|'1gy smuu
`"‘-"-""5'DN IN wnrrrwc mom no
`mm“ “STEM. wmrou-r
`'
`Funusnza.
`
`ACADEMIC p
`A “mm W ruass, INC.
`cl
`’
`.
`52$ B5Irccr,Suire Isirgilrrr Brace & Company
`5"‘ D-=20. California 92 ml 4495
`
`””‘’‘d Kingdom cam
`ACADEMIC PRESS ‘i’.'11’~’»l‘i’a’r‘E’}§" by
`2!. :1
`.
`9"‘ ‘and. London rm: rm:
`
`Contents
`
`.
`.
`Contributors .
`Prefucr:......
`
`.
`
`.
`
`.
`
`.
`.
`.
`.. .
`
`.
`
`.
`
`.
`.
`
`.
`
`.
`.
`
`.
`
`.
`.
`. ..
`
`Design of High- Density Plasma Sources for Malerials Processing
`Michoei A. Lieberman and Richard A. Grmscho
`Introduction .
`.
`.
`.
`
`.
`.
`
`.
`
`.
`
`.
`,
`
`.
`
`.
`
`.
`.
`
`.
`
`.
`
`.
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`III.
`
`IV,
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`.
`.
`A. Capacitively Coupled Radio Frequency Discharge Sources
`B. Limitations of Capacitively Coupled Radio Frequency Discharges
`C. Overview of High-Eificicncy Sources
`.
`.
`.
`Principles of Low-Pressure, High-Efiiciency Source Design .
`A. Unified Analysis 0! Source Operation .
`.
`.
`.
`.
`B. Discharge Heating .
`.
`.
`.
`.
`.
`.
`.
`.
`Electron Cyclotron Resonar1cc{ECR} Discharges
`A. Source Configurations .
`.
`.
`.
`.
`.
`.
`.
`.
`.
`.
`B. Electron Heating .
`.
`.
`C. Resonant Wave Absorption ,
`Helicon Discharges
`.
`.
`.
`.
`.
`.
`A. Helicon Configurations
`B. Helicon Modes
`.
`.
`.
`.
`.
`.
`C‘. Antenna Coupling .
`D. Helicon Mode Absorption .
`.
`.
`.
`.
`Inductive Discharges
`.
`.
`.
`.
`.
`,
`.
`.
`.
`A.
`Inductive Source Configurations .
`.
`B. Power Absorption and Operating Regimes
`.
`C. Source Operation and Coupling .
`.
`.
`.
`D. Low-Density Operation and Source Efficiency .
`Helical Resonator Discharges
`.
`.
`.
`.
`.
`.
`.
`.
`Surface Wave Discharges .
`
`u-r::oc>c:~E3‘u333u-—-r:aE~’:c:~H3'\EEc-otxto-4
`
`
`O\ON!.I\I.)I-l':-h-.t:.-pt.)...
`
`-
`
`.
`
`.
`
`-
`
`-
`
`Ex.1006 p.3
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`,
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`.
`
`,
`
`Ex.1006 p.3
`
`

`
`Design of High-Density Plasma Sources
`for Materials Processing
`
`MICHAEL A. LIEBERMAN
`
`Department
`
`of Electrical Engineering and Computer
`
`Sciences,
`
`and
`
`RICHARD A. GOTTSCHO
`
`Laboratories,
`AT&T Bell
`Murray Hill, New
`Jersey
`
`.
`
`.
`
`..
`
`I. Introduction
`A. Capacitively Coupled Radio Frequency Discharge Sources
`B. Limitations of Capacitively Coupled Radio Frequency Discharges
`C. Overview of High-Efficiency Sources
`II. Principles of Low-Pressure, High-Efficiency Source Design
`A. Unified Analysis of Sources Operation
`1. Electron Temperature
`2. Ion Bombarding Energy
`3. Plasma Density and Ion Current Density
`B. Discharge Heating
`III. Electron Cyclotron Resonance (ECR) Discharges
`A. Source Configurations
`B. Electron Heating
`C. Resonant Wave Absorption
`IV. Helicon Discharges
`A. Helicon Configurations
`B. Helicon Modes
`C. Antenna Coupling
`D. Helicon Mode Absorption
`V. Inductive Discharges
`A. Inductive Source Configurations
`B. Power Absorption and Operating Regimes
`C. Source Operation and Coupling
`D. Low-Density Operation and Source Efficiency
`VI. Helical Resonator Discharges
`VII. Surface Wave Discharges
`
`2
`5
`9
`10
`13
`19
`19
`19
`22
`23
`25
`26
`31
`34
`40
`41
`42
`46
`50
`52
`52
`54
`56
`58
`60
`65
`
`1
`
`Copyright < 1994 by Academic Press, Inc.
`All rights of reproduction in any form reserved.
`ISBN 0-12-533018-9
`
`Ex.1006 p.4
`
`

`
`2
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`IX.
`
`VIII. Plasma Transport
`A. The Ion Energy Distribution Function
`1. Ion Transport and Etching Anisotropy
`B. Methods for Measuring Ion Energy Distribution Functions
`C. Methods for Measuring Plasma Potentials
`D. Measurements of Energy Distributions and Potentials
`1. Ion Acceleration Outside the Sheath
`2. Transverse Ion Energy
`E. Ion Energy Control
`1. Plasma Anodization
`Device Damage
`A. Atomic Displacement Damage
`B. Contamination
`C. Charging
`1. Plasma Uniformity
`
`2. Biasing
`
`D. Radiation
`X. Summary and Remaining Questions
`
`XI. Symbol Definitions
`
`Acknowledgments
`References
`
`I.
`
`Introduction
`
`69
`71
`73
`76
`80
`81
`81
`87
`90
`96
`96
`96
`98
`98
`99
`2
`
`4
`
`105
`8
`
`2
`
`1^
`
`The advent of sub-micron electronic device fabrication has brought
`unprecedented demands for process optimization and control (7,2)
`which, in turn, have led to improved plasma reactors for the etching and
`deposition of thin films. As a result, we have witnessed the introduction
`of a new generation of plasma systems based on electron cyclotron
`resonance (ECR) heating (3-6). ECR plasma etching of polycrystalline
`Si, single crystalline Si, suicides, Al, Mo, W, S i 0 2 , polymers, and III-V
`compound semiconductors have all been reported in recent years (7-33).
`Similarly, ECR plasmas have been used to deposit amorphous Si, silicon
`nitride, boron carbide, and S i 0 2 , to name just a few materials
`(34-40).
`Applications of ECR plasmas beyond etching and deposition have also
`been reported and include ion implantation (41-45), surface cleaning
`(46-59),
`surface passivation (60), and oxidation (53,61-63). Besides
`ECR, many other "novel" plasma generation schemes are now being
`offered to satisfy manufacturers' needs in these materials processing
`areas. All these schemes purport to offer advantages over conventional
`approaches such as the capacitively coupled radio frequency discharge
`now used in many factories for etching and deposition of thin films
`during integrated circuit manufacturing.
`
`Ex.1006 p.5
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`3
`
`But which scheme is best? What are the key aspects to plasma source
`design that affect materials processing? And why are the conventional
`approaches inadequate? While the answers to these questions remain
`elusive and are the subject of much current research, one can clearly
`identify commonalities and differences between the novel sources, whose
`most distinctive characteristic is higher efficiency than their conventional
`counterparts operated at low pressure. The purpose of this review is to
`(1) develop a unified framework from which all "high-efficiency" sources
`may be viewed and compared; (2) outline key elements of source design
`that affect processing results; and (3) highlight areas where additional
`research and development is needed. In so doing, we hope to assist those
`who use plasma for materials processing to make wise choices in
`constructing or purchasing sources, to guide vendors of high-efficiency
`sources in choosing designs that can best meet their customers' expecta-
`tions, and to inspire the research community to focus on problems of
`technological interest.
`Before such a review can be begun, several disclaimers must be made.
`First, the literature on applications, diagnostics, and modeling of high-
`efficiency sources is now so voluminous that we are not able to review
`or reference every paper. Rather, we have opted for highlighting key
`results in line with our objectives stated earlier. Second, we restrict our
`focus to those aspects of plasma processing that are uniquely affected by
`the use of high-efficiency plasmas. For example, we discuss aspects of
`source design that affect plasma-induced electrical damage in microelec-
`tronic circuits, but a comprehensive discussion of damage mechanisms
`is the subject of its own review and clearly beyond the scope of this
`work. Third, there are pertinent areas that while important are not yet
`ready for review. Foremost amongst these is the field of numerical
`simulation. While impressive results have been reported recently and we
`will draw on some of these, little has appeared in print and it is
`premature to review the field. Similarly, the stability of high-efficiency
`sources is a matter of some concern, and recent work illustrates that
`sudden mode changes and bistability may adversely affect materials
`properties, but too little has been reported and analyzed to make a
`thorough discussion meaningful. Finally, any review reflects the biases
`of the authors, and this work is no exception. Based on our interests and
`experience, we focus on applications of plasmas
`to microelectronics
`fabrication and, in particular, etching. Heavy emphasis is placed on
`simple, analytical, unifying theories and quantitative diagnostic measure-
`ments.
`Why new sources? In plasma etching, the shrinking dimensions of
`
`Ex.1006 p.6
`
`

`
`4
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`micro-electronic devices have placed unprecedented demands on process
`control. Consider critical dimension (CD) control where the width of the
`transistor gate is specified to better than 10%. For yesterday's CD of
`1 μηι, this means a linewidth variation of 0.1 μτη can be tolerated, but by
`the end of the 20th century when the CD should be only 0.25 /im,
`variations in CD must be less than 0.025 ^m. This requires unprece-
`dented anisotropy in the plasma etching of gate electrodes, contact
`windows, and metallic interconnections. To achieve such control, we
`need to increase the anisotropy of ion transport to the device wafer from
`what it is in the conventional capacitively coupled rf reactor. This means
`operating plasmas at lower pressures. But conventional rf sources are
`inefficient at low pressure, so that high powers must be used to achieve
`the high rates of ionization and dissociation necessary for high-through-
`put, low-cost manufacturing. Unfortunately, excessive power input to a
`capacitively coupled system leads to high ion bombarding energies that
`can degrade selectivity in etching and produce electrical damage that
`reduces device yield. Thus, new sources are needed to operate at lower
`pressure and higher efficiency.
`In conventional rf systems, ion energy and flux are inexorably linked.
`But ion energy control is needed in plasma deposition to tailor film
`properties such as stress, composition, refractive index, crystallinity, and
`topography. Ion energy control is used in plasma etching to optimize
`selectivity and minimize atomic displacement damage while meeting
`linewidth and throughput specifications. Therefore, gaining superior
`control of ion energy and decoupling it from ion flux control is further
`motivation for developing new plasma sources and processing systems.
`In the remainder of this section, we review briefly the properties of
`capacitively coupled radio frequency plasmas and elaborate further on
`the advantages of high-efficiency sources. In the following sections, we
`first discuss the fundamental principles underlying high-efficiency plasma
`source design and, to compare one source with another, use a simple
`analysis in Section II that allows estimation of electron temperature, ion
`bombardment energy, and plasma density in terms of the gas phase
`cross-sections, gas density, absorbed power, and source dimensions. In
`this way, we provide an approximate but common framework with
`which one source can be compared to another. In Sections III-VII we
`discuss in greater detail ECR, helicon, inductive, helical resonator, and
`surface wave sources, respectively. Emphasis is placed on electron
`heating and power absorption, since these are the primary differences
`between one source and another. In Section VIII, we turn to the issue
`of plasma transport and independent control of ion energy and flux.
`
`Ex.1006 p.7
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`5
`
`Obtaining such control is largely independent of the electron heating
`mechanism but depends critically on source design parameters such as
`the magnetic field and power absorption profiles. We focus our attention
`in Section VIII on measurements of ion energy distributions, mostly in
`ECR systems since few data are available from other systems. In Sections
`VIII and IX, we relate ion energy and plasma uniformity, dictated by
`source design, to processing results such as etching anisotropy, atomic
`displacement damage, and charge-induced damage. In the final section,
`we highlight remaining issues and the areas where further investigation
`is needed.
`Throughout this paper we strive to be consistent with dimensional
`analysis despite not using a consistent set of units. Generally, magnetic
`field is expressed in gauss, distances in meters, centimeters, or mil-
`limeters, and the electron charge in coulombs. Energies are usually given
`in units of volts, not electron volts, so the value of e is explicitly written.
`Pressures are given in Torr or milli-Torr. While this does not conform
`to international convention, it does conform to common usage. We
`apologize to the purists.
`
`A.
`
`CAPACITIVELY C O U P L ED R A D IO FREQUENCY DISCHARGE SOURCES
`
`Capacitively driven rf discharges—so-called rf diodes—are the most
`common sources used for materials processing. An idealized source in
`plane parallel geometry, shown in Fig. la, consists of a discharge
`chamber containing two electrodes separated by a spacing / and driven
`by an rf power source. The substrates are placed on one electrode,
`feedstock gases are admitted to flow through the discharge, and effluent
`gases are removed by the vacuum pump. Coaxial discharge geometries,
`such as the "hexode" shown in Fig. lb, are also in widespread use. When
`operated at low pressure, with the wafer mounted on the powered
`electrode, and used to remove substrate material, such reactors are
`commonly called reactive ion etchers (RIEs)—a misnomer, since the
`etching is generally a chemical process enhanced by energetic ion
`bombardment of the substrate, rather than a removal process due to
`reactive ions. When operated at higher pressure with the wafer mounted
`on the grounded electrode, such reactors are commonly referred to as
`plasma etchers. In terms of the physical properties of these systems, this
`distinction is somewhat arbitrary.
`The physical operation of capacitively driven discharges is reasonably
`well understood. As shown in Fig. 2 for a symmetrically driven discharge
`
`Ex.1006 p.8
`
`

`
`6
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`GAS FEED
`
`SUBSTRATE —
`
`VACUUM
`PUMP
`
`RF
`SOURCE
`
`BLOCKING
`CAPACITOR
`
`(a)
`
`(b)
`
`FIG. 1. Capacitive rf discharges: (a) plane parallel geometry; (b) coaxial
`geometry.
`
`("hexode")
`
`operated at frequencies between the ion and electron plasma frequencies,
`the mobile plasma electrons, responding to the instantaneous electric
`fields produced by the rf (13.6 MHz) driving voltage, oscillate back and
`forth within the positive space charge cloud of the ions. At 13.6 MHz,
`the massive ions respond only to the time-averaged electric fields.
`Oscillation of the electron cloud creates sheath regions near each
`electrode that contain net positive charge when averaged over an
`
`Ex.1006 p.9
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`7
`
`oscillation period; i.e., the positive charge exceeds the negative charge in
`the system, with the excess appearing within the sheaths. This excess
`produces a strong
`time-averaged electric field within each sheath
`directed from the plasma to the electrode. Ions flowing out of the bulk
`plasma near the center of the discharge can be accelerated by the sheath
`fields to high energies as they flow to the substrate, leading to energetic-
`ion bombardment, which can enhance, inhibit, or otherwise modify
`surface reactions. Typical ion bombarding energies ε { can be as high as
`Vr{/2 for symmetric systems (Fig. 2) and as high as V T{ at the powered
`the rf voltage
`electrode for asymmetric systems (Fig. lb), where V T{9
`amplitude (peak rf voltage) between the two electrodes, might typically
`vary between 100 V and 1 kV.
`We note that positive ions continuously bombard the electrode over
`an rf cycle. In contrast, electrons are lost to the electrode only when the
`
`Ex.1006 p.10
`
`

`
`8
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`oscillating cloud closely approaches the electrode. During that time, the
`instantaneous sheath potential collapses to near-zero, allowing a suffi-
`cient number of electrons to escape to balance the ion charge delivered
`to the electrode. Except for such brief moments, the
`instantaneous
`potential of the discharge must always be positive with respect to any
`large electrode and wall surfaces; 1 otherwise the mobile electrons would
`quickly leak out. Electron confinement is ensured by the presence of
`positive space charge sheaths near all surfaces.
`The separation of the discharge into bulk and sheath regions is an
`important paradigm that applies to all discharges. The bulk region is
`quasi- neutral, and both instantaneous and time-averaged fields are low.
`The bulk plasma dynamics are described by ambipolar diffusion at high
`pressures and by free-fall ion loss at low pressures. In the positive space
`charge sheaths, high fields exist, leading to dynamics that are described
`by various ion space charge sheath laws, including low-voltage sheaths
`(for high density sources) and various high-voltage sheath models (for rf
`diodes), such as collisionless and collisional Child
`laws and
`their
`modifications (66-73). The plasma and sheath models must be joined at
`their interface. The usual joining condition is to require that the mean
`ion velocity at the plasma-sheath edge be equal to the ion-sound (Böhm)
`where e and M are the charge and mass of the
`velocity uB = (eTe/M)l/2,
`ion and T e is the electron temperature in units of volts.
`In the second column of Table I, typical rf diode source and plasma
`parameters are given. For anisotropic etching, pressures are in the range
`10-lOOmTorr, power densities are 0.1-1 W/cm 2, the driving frequency
`is typically 13.6 MHz, and multiple wafer systems are common. Plasma
`densities are relatively low, ~ 1 0 1 0c m ~ 3, and mean electron energies are
`of order 5 V, corresponding to Maxwellian electron temperatures of
`order 3 V. However, non-Maxwellian electron distributions (e.g., two-
`temperature) are also observed, with the bulk electron
`temperature
`sometimes much less than 1 V (74, 75). Ion acceleration energies (sheath
`voltages) are high, > 200 V, and fractional ionization is low. The degree
`of dissociation can range widely from less than 0.1% to nearly 100%
`depending on gas composition and plasma conditions (76,77). For
`deposition and isotropic etch applications, pressures tend to be higher
`
`low-frequency electronegative and dc
`in
`this rule are also possible
`to
`e x c e p t i o ns
`discharges. In the former, the buildup of negative ions can reduce the plasma potential
`below that of large surfaces in contact with the plasma (64). In the latter, the plasma
`potential can lie between the two electrode potentials if sufficient current is drawn from
`the plasma
`(65).
`
`Ex.1006 p.11
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`9
`
`TYPICAL PARAMETERS FOR HIGH-EFFICIENCY A ND CONVENTIONAL rf PLASMA SOURCES
`
`T A B LE I
`
`Parameter
`
`/
`
`Pressure ρ
`Power Ρ
`Frequency
`Volume V
`Cross-sectional area A
`Magnetic field Β
`Plasma η
`Electron temperature T e
`Ion acceleration energy ε {
`Fractional ionization Χ- Σ
`
`Units
`
`mTorr
`W
`MHz
`1
`2
`c m
`kG
`c m "
`V
`V
`—
`
`3
`
`rf Diode
`
`High-Density
`Source
`
`10-1,000
`50-2,000
`0.05-13.6
`1-10
`300-2,000
`0
`- 1 0
`1 0
`1-5
`200-1,000
`i o - 6- i o ~ 3
`
`n
`
`9
`
`0.5-50
`100-5,000
`0-2,450
`2 - 50
`3 0 0 - 5 00
`0 -1
`1 0
`1 0
`- 1 0
`2 -7
`2 0 - 5 00
`ι ο ^ - ι ο " 1
`
`1 2
`
`and frequencies sometimes lower than the commonly used standard of
`13.6 MHz. For example, silicon nitride deposition used for chip encap-
`sulation is ordinarily performed at frequencies between 50 and 500 kHz
`where relatively large ion bombardment energies are used to tailor film
`stress and stoichiometry (78).
`
`B.
`
`LIMITATIONS OF CAPACITIVELY C O U P L ED RADIO FREQUENCY
`DISCHARGES
`
`A crucial limiting feature of rf diodes is that the ion bombarding flux
`Tj = nuB and the ion acceleration energy ε ι can not be varied indepen-
`dently. The situation is analogous to the lack of independent voltage and
`current control in diode vacuum tubes or semiconductor pn junctions.
`Hence, for a reasonable (but relatively low) ion flux, as well as a
`reasonable dissociation of the feedstock gas, sheath voltages at the
`driven electrode are high. For wafers placed on the driven electrode, this
`can result in undesirable damage, or loss of linewidth control. Further-
`more, the combination of low ion flux and high ion energy leads to a
`relatively narrow window for many process applications. The
`low
`process rates resulting from the limited ion flux in rf diodes often
`mandate multiwafer or batch processing, with consequent loss of wafer-
`to-wafer reproducibility. Higher ion and neutral fluxes are generally
`required for single wafer processing in a clustered tool environment, in
`which a single wafer is moved by a robot through a series of process
`
`Ex.1006 p.12
`
`

`
`10
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`chambers. Clustered tools are used to control interface quality and are
`said to have the potential for significant cost savings in fabricating
`integrated circuits (79). Finally, low fractional ionization poses a signifi-
`cant problem for processes where the feedstock costs and disposal of
`effluents are issues.
`To meet the linewidth, selectivity and damage control demands for
`next-generation fabrication, the mean ion bombarding energy, and its
`energy distribution, should be controllable independently of the ion and
`neutral fluxes. Some control over
`ion bombarding energy can be
`achieved by putting the wafer on the undriven electrode and indepen-
`dently biasing this electrode with a second rf source. Although these
`so-called rf triode systems are in use, processing rates are still low at low
`pressures and sputtering contamination is an issue.
`Various magnetically enhanced rf diodes and triodes have also been
`developed to improve performance of the rf reactor. These include, for
`example, the Applied Materials AMT-5000 magnetically enhanced reac-
`tive ion etcher (MERIE) and the Microelectronics Center of North
`Carolina's split cathode rf magnetron. In the AMT MERIE, a dc
`magnetic field of 50-100 G is applied parallel to the powered electrode,
`on which the wafer sits. The magnetic field increases the efficiency of
`power transfer from the source to the plasma and also enhances plasma
`confinement. This results in a reduced sheath voltage and an increased
`plasma density when the magnetic field is applied (80,81). However, the
`plasma generated is strongly nonuniform both radially and azimuthally
`because of Ε χ Β drifts, where Ε and Β are the local electric and
`magnetic fields, respectively. To increase process uniformity (at least
`azimuthally), the magnetic field is rotated in the plane of the wafer at a
`frequency of 0.5 Hz. While this is an improvement, MERIE systems do
`not have good uniformity, which may limit their applicability to next-
`generation, sub-micron device fabrication. Indeed, the strongly nonuni-
`form plasma over the wafer can give rise to a lateral dc current that can
`damage thin gate oxide films (see Section IX.C).
`
`C.
`
`OVERVIEW OF HIGH-EFFICIENCY SOURCES
`
`The limitations of rf diodes and their magnetically enhanced variants
`have led to the development of a new generation of low-pressure, high-
`efficiency plasma sources. A few examples are shown schematically in
`Fig. 3, and typical source and plasma parameters have been given in
`Table 1. In addition to high density and low pressure, a common feature
`
`Ex.1006 p.13
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`11
`
`MICROWAVES
`
`11
`•
`
`r—*»
`LU
`

`S
`
`RF ANTENNA
`

`
`-MULTIDIPOLES
`
`ECR
`
`HELICON
`
`•RF BIAS
`
`C
`C
`
`RF
`
`RF
`
`HELICAL RESONATOR
`
`INDUCTIVE
`
`FIG. 3. Some high-density remote sources.
`
`is that the rf or microwave power is coupled to the plasma across a
`dielectric window, rather than by direct connection to an electrode in
`the plasma, as for an rf diode. This non-capacitive power transfer is key
`to achieving low voltages across all plasma sheaths at electrode and wall
`surfaces. Dc voltages, and hence ion acceleration energies, are then
`typically 20-30 V at all surfaces. To control the ion energy, the electrode
`on which the wafer is placed can be independently driven by a capaci-
`tively coupled rf source. Hence, independent control of the ion/radical
`fluxes (through the source power) and the ion bombarding energy
`(through the wafer electrode power) is possible. This subject is discussed
`at greater length in Section VIII.
`
`Ex.1006 p.14
`
`

`
`12
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`The common features of power transfer across dielectric windows and
`separate bias supply at the wafer electrode are illustrated in Fig. 3.
`However, sources differ significantly in the means by which power is
`coupled to the plasma. For the electron cyclotron resonance (ECR)
`source shown in Fig. 3a, one or more electromagnet coils surrounding
`the cylindrical source chamber generate an axially varying dc magnetic
`field. Microwave power is injected axially through a dielectric window
`into the source plasma, where it excites a right-hand circularly polarized
`(RHP) wave that propagates to a resonance zone, for cold electrons, at
`is the applied radian
`ω = œce where the wave is absorbed. Here ω = Inf
`frequency and œ ce = eB/m is the electron gyration frequency at reson-
`ance. For the typical microwave frequency / = 2,450 MHz used, the
`resonant magnetic field is Β « 875 G. The plasma streams out of the
`source into the process chamber in which the wafer is located.
`A helicon source is shown in Fig. 3b. A weak (50-200 G) dc axial
`magnetic field along with an rf-driven antenna placed near the dielectric
`cylinder that forms the source chamber allows excitation of a helicon
`wave within the source plasma. Resonant wave-particle
`interaction
`(Landau damping) is believed to transfer the wave energy to the plasma
`(82-86)
`(Section IV.D). For the helical resonator source shown in Fig.
`3c, the external helix and conducting cylinder surrounding the dielectric
`discharge chamber form a slow wave structure, i.e., supporting an
`electromagnetic wave with phase velocity much less than the velocity of
`light. Efficient coupling of the rf power to the plasma is achieved by
`excitation of a resonant axial mode (Section VI). An inductive (or
`transformer) coupled source is shown in Fig. 3d. Here the plasma acts
`as a single-turn, lossy conductor that is coupled to a multiturn non-
`resonant rf coil across the dielectric discharge chamber; rf power is
`inductively coupled to the plasma by transformer action (Section V). In
`contrast to the ECR and helicon sources, a dc magnetic field is not
`required for efficient power coupling in the helical resonator or inductive
`sources.
`Figure 3 also illustrates the use of high-density sources to feed plasma
`into a relatively distinct, separate process chamber in which the wafer is
`located. As shown in the figure, the process chamber can be surrounded
`by dc multidipole magnetic fields to enhance plasma confinement near
`the process chamber surfaces, while providing a magnetic
`field-free
`plasma environment at the wafer. Such configurations are often called
`"remote" sources, another misnomer since at low pressures considerable
`plasma and free radical production occurs within the process chamber
`near the wafer (see Section VIII.D). Hence, such sources are not actually
`
`Ex.1006 p.15
`
`

`
`D E S I GN OF H I G H - D E N S I TY P L A S MA S O U R C ES
`
`13
`
`remote. For reasons that are discussed further in Sections II.A.2, VIII.D,
`and IX.C, the source and process chambers are sometimes combined, or
`the wafer is placed very near to the source exit. Such configurations are
`useful for obtaining increased ion and radical fluxes, reducing the spread
`in ion energy, and improving process uniformity. But the wafer is
`exposed to higher levels of damaging radiation as well (Section IX).
`Although the need for low pressures, high fluxes and controllable ion
`energies has motivated high-density source development, there are many
`issues that need to be resolved. A critical issue is achieving the required
`process uniformity over 200-300 mm wafer diameters. In contrast to the
`nearly one-dimensional geometry of typical rf diodes (two closely spaced
`parallel electrodes), high-density sources are often cylindrical systems
`with length-to-diameter ratios of order or exceeding unity. Plasma
`formation and
`transport
`in such geometries
`is inherently
`radially
`nonuniform. Another critical issue is efficient power transfer (coupling)
`across dielectric windows over a wide operating range of plasma
`parameters. Degradation of and deposition on the window can also lead
`to irreproducible source behavior and the need for frequent, costly
`cleaning cycles (87). Low-pressure operation leads to severe pumping
`requirements for high deposition or etching rates and hence to the need
`for large, expensive vacuum pumps. Furthermore, plasma and radical
`concentrations become strongly sensitive to reactor surface conditions,
`leading to problems of reactor aging and process
`irreproducibility.
`Finally, dc magnetic fields are required for some source concepts. These
`can lead to magnetic field induced process nonuniformities and damage,
`as seen, for example, in MERIE systems (88).
`
`II. Principles of Low-Pressure, High-Efficiency Source Design
`
`For the pressures of interest (see Table I), the plasma is not in thermal
`equilibrium, and local ionization models (89), where the ionization rate
`is a function of the local field and gas density only, fail. For all sources, the
`electrical power is coupled most efficiently to plasma electrons. In the
`bulk plasma, energy is transferred inefficiently from electrons to ions and
`neutrals by weak collisional processes; for ions, energy can also be
`coupled by weak ambipolar electric fields. The fraction of energy
`transferred by elastic collision of an electron with a heavy ion or neutral
`is 2 m / M ~ 1 0 ~ 4, where m and M are the electron and heavy particle
`
`Ex.1006 p.16
`
`

`
`14
`
`M. A. L I E B E R M AN A ND R. A. G O T T S C HO
`
`masses. Hence, the electron temperature T e much exceeds the ion and
`neutral temperatures,
`and % respectively, in the bulk; typically,
`7^~5V, whereas 7J and Τ are a few times room temperature (90). A
`more complete discussion of the ion temperature is given in Section VIII.
`However, dissociation and excitation processes can create a subgroup of
`relatively high-energy heavy particles. Also, the ambipolar electric fields
`accelerate positive ions toward the sheath edge, and typically, the ions
`in the bulk acquire a directed energy at the sheath edge of order TJ2.
`At these low pressures, the mean free path for ionizing electrons,
`with energies of 10-15 V, is typically comparable to the source dimen-
`sions. Hence, even if the electric power is deposited in a small volume
`within an unmagnetized source, the electron-neutral ionization rate v i z
`is expected to be relatively uniform, since the ionization occurs on the
`distance scale of this mean free path. In magnetized plasmas, on the
`other hand, the ionization rate may be highly nonuniform as
`the
`magnetized electrons have trouble crossing field lines, so ionization
`along a magnetic flux tube might be uniform but significant radial
`nonuniformities may persist. In addition, the propagation and absorp-
`tion of the exciting electromagnetic fields depend on the charge density
`distribution. The coupling is nonlinear and can give rise to sudden mode
`changes and instabilities. In some instances, the density profile can steer
`power into regions of higher or lower density and make the plasma more
`or less uniform, respectively (97, Section III.C).
`Although the electron energy distribution function (eedf) need not be
`Maxwellian, recent Thomson scattering results indicate that this can be
`a good approximation (92), and at least insightful estimates of source
`operation can be obtained by approximating the eedf to be a Maxwel-
`

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket