throbber

`
`Dual Damascene Processing for Semiconductor Chip Interconnects
`
`FIELD OF THE INVENTION
`
`The present invention relates to lithographic methods for forming a dual relief
`
`pattern in a substrate, and the application of such methods to fabricating multilevel
`
`interconnect structures in semiconductor chips.
`
`
`
`BACKGROUND OF THE INVENTION
`
`Device interconnections in Very Large Scale Integrated (VLSI) or Ultra-Large
`
`Scale Integrated (ULSI) semiconductor chips are typically effected by multilevel
`
`interconnect structures containing patterns of metal wiring layers called traces.
`
`Wiring structures within a given trace or level of wiring are separated by an
`
`intralevel dielectric, while the individual wiring levels are separated from each
`
`other by layers of an interlevel dielectric. Conductive vias are formed in the
`
`interlevel dielectric to provide interlevel contacts between the wiring traces.
`
`
`
`By means of their effects on signal propagation delays, the materials and layout of
`
`these inter(cid:173) connectinterconnect structures can substantially impact chip speed, and
`
`thus chip performance. Signal propagation delays are due to RC time constants
`
`wherein R is the resistance of the on-chip wiring, and C is the effective capacitance
`
`between the signal lines and the surrounding conductors in the multilevel
`
`interconnection stack. RC time constants are reduced by lowering the specific
`
`resistance of the wiring material, and by using interlevel and intralevel dielectrics
`
`with lower dielectric constants.
`
` A
`
` preferred metal/dielectric combination for low RC interconnect structures might
`
`be Cu metal with a carbon-based dielectric such as diamond-like-carbon (DLC) or
`
`an organic polymer. Due to difficulties in subtractively patterning copper,
`
`
`
`IP Bridge Exhibit 2011
`TSMC v. IP Bridge
`IPR2016-01379
`Page 0001
`
`

`

`
`
`however, interconnect structures containing copper are typically fabricated by a
`
`Damascene process. In a Damascene process, metal patterns inset in a layer of
`
`dielectric are formed by the steps of
`
`etching holes (for vias) or trenches (for wiring) into the interlevel or intralevel
`
`dielectric,
`
`optionally lining the holes or trenches with one or more adhesion or diffusion
`
`barrier layers,
`
`overfilling said holes and trenches with a conductive wiring material, by a process
`
`such as physical vapor deposition (for example, sputtering or evaporation),
`
`chemical vapor deposition, or plating, and
`
`removing the metal overfill by planarizing the metal to be even with the upper
`
`surface of the dielectric.
`
`
`
`This process is repeated until the desired number of wiring and via levels have
`
`been fabricated.
`
`
`
`Fabrication of
`
`interconnect structures by Damascene processing can be
`
`substantially simplified by using a process variation known as Dual Damascene, in
`
`which a wiring level and its underlying via level are filled in with metal in the
`
`same deposition step. However, fabrication by this route requires transferring two
`
`patterns to one or more layers of dielectric in a single block of lithography and/or
`
`etching steps. This has previously been accomplished by using a layer of masking
`
`material that is patterned twice, the first time with a via pattern and the second time
`
`with a wiring pattern. This procedure typically comprises the steps of:
`
`forming one or more layers of dielectric having a total thickness equal to the sum
`
`of the via level and wiring level thicknesses,
`
`
`
`IPR2016-01379 Page 0002
`
`

`

`
`
`applying a layer of a hard mask material such as SiO2 or Si3N4 having different
`
`etch characteristics than the underlying dielectric,
`
`patterning the hard mask material with the via level pattern, typically by etching
`
`through a photoresist stencil,
`
`transferring said via level pattern into a first upper thickness of said one or more
`
`layers of dielectric by a process such as etching,
`
`repatterning the same layer of hard mask material with the wiring level pattern,
`
`transferring the wiring level pattern into a second upper thickness of said one or
`
`more layers of dielectric in such a manner as to simultaneously transfer the
`
`previously etched via pattern to a bottom thickness of said one or more layers of
`
`dielectric, said second upper and bottom thicknesses closely approximating the
`
`wiring and via level thicknesses, respectively.
`
`
`
`While this "twice patterned single mask layer" process has the virtue of simplicity,
`
`difficulties in reworking the second lithography step may occur if the interconnect
`
`dielectric and the photoresist stencil used to pattern the hard mask have similar
`
`etch characteristics. Such would be the case with an organic photoresist and a
`
`carbon-based interconnect dielectric such as DLC. A typical cause for rework
`
`wouldmight be a misalignment between the via-patterned hard mask/upper
`
`dielectric layers and the wiring-patterned resist layer. However, lithographic
`
`rework at this stage is a problem because the sidewalls of the via-patterned
`
`dielectric are not protected from the resist stripping steps necessary for removing a
`
`misaligned wiring-patterned resist layer.
`
`
`
`SUMMARY OF THE INVENTION
`
`The present invention relates to improved methods for defining and transferring
`
`two patterns (or a single dual relief pattern) to one or more layers of dielectric in a
`
`
`
`IPR2016-01379 Page 0003
`
`

`

`
`
`single block of lithography and/or etching steps. The invention comprises two
`
`preferred modifications of a prior art "twice patterned single mask layer." Dual
`
`Damascene process and two preferred embodiments of a fabrication process for a
`
`dual pattern hard mask which may be used to form dual relief cavities for Dual
`
`Damascene applications.
`
`
`
`The first and second preferred modifications of a prior art "twice patterned single
`
`mask layer" process introduce an easy-to-integrate sidewall liner which protects
`
`organic interlevel and intralevel dielectrics from potential damage induced by
`
`photoresist stripping steps which may be needed, for example, during rework
`
`processing to correct for lithographic misalignment. In the first modification, the
`
`liner may be permanent, in which case portions of the liner can remain in the final
`
`structure. In the second modification, the liner may be disposable, in which case
`
`the liner would be removed from the finished structure. Use of these inventive
`
`modifications allows problem-free rework with minimal impact on processing.
`
`
`
`The two preferred embodiments of a dual pattern hard mask fabrication process
`
`provide a mask wherein the lithographic alignment for both via and wiring levels is
`
`completed before any pattern transfer into the underlying interlevel/intralevel
`
`dielectric. The dual pattern hard mask might preferably comprise a bottom layer of
`
`silicon nitride with a first pattern and a top layer of SiO2 with a second pattern.
`
`The two embodiments differ by the order in which said first and second patterns
`
`are transferred into the hard mask layers.
`
`
`
`It is thus an object of the present invention to improve the existing "twice patterned
`
`single mask layer" Dual Damascene process by adding a protective sidewall liner
`
`which may or may not remain in the final structure.
`
`
`
`IPR2016-01379 Page 0004
`
`

`

`
`
`
`
`It is a further object of the present invention to teach the use of a Dual Damascene
`
`process in which a dual pattern hard mask containing both via and wiring level
`
`patterns is fabricated on a substrate comprising at least one layer of an
`
`interlevel/intralevel dielectric, prior
`
`to any pattern
`
`transfer
`
`into
`
`the
`
`interlevel/intralevel dielectric.
`
`
`
`It is a further object of the present invention to provide a general method for
`
`forming a dual pattern hard mask, said dual pattern hard mask comprising a first
`
`set of one or more layers with a first pattern, and a
`
`
`
`second set of one or more layers with a second pattern.
`
`
`
`It is a further object of the present invention to teach a method for transferring said
`
`first and second patterns of said dual pattern hard mask to an underlying substrate
`
`to form a dual relief patterned structure.
`
`
`
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`
`
`These and other features, objects, and advantages of the present invention will
`
`become apparent upon a consideration of the following detailed description of the
`
`invention when read in conjunction with the drawings, in which:
`
`
`
`FIGS. 1A-1L show in cross section view the prior art "twice patterned single mask
`
`layer" Dual Damascene process flow for forming a wiring layer and its associated
`
`underlying via layer;
`
`
`
`IPR2016-01379 Page 0005
`
`

`

`
`
`FIGS. 2A-2D show in cross section view an exaggeration of the rework problem
`
`that may be encountered with the process flow of FIG. 1;
`
`
`
`FIGS. 3A-3G show in cross section view a first preferred modification of the FIG.
`
`1 process;
`
`
`
`FIGS. 4A-4F show in cross section view a second preferred modification of the
`
`FIG. 1 process;
`
`
`
`FIGS. 5A-5H illustrate in cross section view a Dual Damascene process flow
`
`utilizing a first preferred embodiment of the disclosed dual pattern hard mask;
`
`
`
`FIGS. 6A-6J illustrate in cross section view a Dual Damascene process flow
`
`utilizing a trilayer variation of a first preferred embodiment of the disclosed dual
`
`pattern hard mask;
`
`
`
`FIGS. 7A-7I illustrate in cross section view a Dual Damascene process flow
`
`utilizing a second preferred embodiment of the disclosed dual pattern hard mask;
`
`and
`
`
`
`FIGS. 8A-8D illustrate in cross section view a three pattern hard mask, and some
`
`associated materials issues.
`
`
`
`DESCRIPTION OF THE PREFERRED EMBODIMENTS
`
`
`
`FIGS. 1A-1L show in cross section view a prior art "twice patterned single mask
`
`layer" Dual Damascene process flow for forming a wiring layer and its underlying
`
`
`
`IPR2016-01379 Page 0006
`
`

`

`
`
`via layer. The process flow may be exercised on a variety of substrates but is
`
`illustrated for
`
`the simplified substrate of FIG. 1A which comprises a
`
`semiconductor base 2 containing arrays of electrical devices (not shown),
`
`conductive via 4, and dielectric passivation layer 6.
`
`layered
`
`dielectric
`
`stack
`
`13
`
`comprising
`
`an
`
`optional
`
`dielectric
`
` A
`
`
`
`passivation/adhesion layer 7, a via level dielectric 8, an optional dielectric etch
`
`stop layer 10, and a wiring level dielectric 12 are then applied to produce the
`
`structure of FIG. 1B. Via and wiring dielectrics 8 and 12 might be carbon-based
`
`materials such as DLC or fluorinated DLC (FDLC), SiCO or SiCOH compounds,
`
`or organic or inorganic polymer dielectrics, and optional dielectric etch stop 10
`
`might be a silicon-containing material such as SiO2, Si3N4, SiOxNy, SiCOH
`
`compounds, silicon-containing DLC (SiDLC), etc. The total thickness of layered
`
`dielectric stack 13 closely approximates the sum of the via and wiring level
`
`thicknesses.
`
`
`
`For a description of DLC and SiDLC, reference is made to US PatentU.S. Pat. No.
`
`5,xxx,xxx;559,367 which is incorporated here by reference, for a description of
`
`FDLC reference is made to US Patents NoU.S. Pat. Nos. 5,xxx,xxx462,784 and
`
`5,xxx,xxx;674,638 which is incorporated herein by reference. For a description of
`
`SiDLC, reference is made to US Patent No. 5,xxx,xxx.hydrogenated oxidized
`
`silicon carbon material (SiCOH) and a method for making layers thereof reference
`
`is made to U.S. Pat. Ser. No. 09/107,567 filed Jun. 29, 1998 by A. Grill et al
`
`entitled "Hydrogenated Oxidized Silicon Carbon Material" which is incorporated
`
`herein by reference.
`
`
`
`
`
`IPR2016-01379 Page 0007
`
`

`

`
`
`A hard mask layer 14, formed from a material such as SiO2 or Si3N4 having
`
`different etch characteristics from the underlying dielectric 12, is then applied to
`
`produce the structure of FIG. 1C. Hard mask layer 14 is more resistant than
`
`photoresist to the etching condidtions used for transfering the photoresist pattern
`
`into underlying dielectric 12. A photoresist forms a soft mask and is mainly
`
`composed of organic material. The hard mask may be composed of inorganic
`
`materials. A resist layer 16 patterned with a first pattern is then formed on hard
`
`mask 14, as shown in FIG. 1D. The first pattern in patterned resist layer 16 would
`
`typically be a via level pattern. If resist layer 16 is for some reason misaligned with
`
`respect to underlying structures such as via 4, resist layer 16 may be removed by a
`
`process such as ashing or wet chemical etching without damaging underlying
`
`dielectric 12, since dielectric 12 is still protected by hard mask 14. Resist 16 is then
`
`reapplied and patterned until the desired alignment is achieved. Hard mask layer 14
`
`is then patterned with said first pattern by etching through the openings in
`
`patterned resist layer 16, as shown in FIG. 1E. Said first pattern is then transferred
`
`into the entire thickness of dielectric 12 by an etching process such as reactive ion
`
`etching (RIE), as shown in FIG. 1F. This etching process typically also removes all
`
`residuals of patterned resist layer 16.
`
` A
`
` resist layer 18 patterned with a second pattern is then formed on the structure of
`
`FIG. 1F to produce the structure of FIG. 1G. Said second pattern in patterned resist
`
`layer 18 would typically be a wiring level pattern. Hard mask layer 14 is then
`
`patterned with said second pattern by etching through the openings in patterned
`
`resist layer 18, as shown in FIG. 1H. Exposed regions of optional dielectric etch
`
`stop 10 would typically also be removed during this etching step, as well.
`
`Dielectrics 8 and 12 are then etched to transfer the second pattern into the entire
`
`thickness of dielectric 12, and the first pattern into the entire thickness of dielectric
`
`
`
`IPR2016-01379 Page 0008
`
`

`

`
`
`8, as shown in FIG. 1I. This etching process typically also removes all residuals of
`
`patterned resist layer 18. Exposed regions of optional dielectrics 10 and 7 are then
`
`removed to produce the structure of FIG. 1J containing dual relief cavity 20.
`
`Cavity 20 is optionally lined with one or more adhesion or diffusion barrier layers
`
`(not shown) and then overfilled with conductive wiring material 22, by a process
`
`such as physical vapor deposition, chemical vapor deposition, solution deposition,
`
`or plating to produce the structure of FIG. 1K. Conductive wiring material 22 is
`
`then planarized by a process such as chemical mechanical polishing (CMP) to be
`
`approximately even with the top surface of dielectric 12 and/or remaining hard
`
`mask 14. Remaining hard mask 14 is then optionally removed to produce the
`
`structure of FIG. 1L. Additional wiring/via levels may be fabricated by repeating
`
`the steps shown in FIGS. 1B-1L.
`
`
`
`FIGS. 2A-2D show in cross section view an exaggeration of the rework problem
`
`that may be encountered with the process flow of FIGS. 1B-1L if resist 18 of FIG.
`
`1G is misaligned. FIG. 2A shows the structure of FIG. 1F after application of resist
`
`26. FIG. 2B shows the structure of FIG. 2A after resist layer 26 has been patterned
`
`with said second pattern to produce misaligned patterned resist layer 28. FIG. 2C
`
`shows the structure of FIG. 2B after an ashing process to remove removal of
`
`misaligned patterned resist layer 28 by a process such as ashing or wet chemical
`
`etching. Sidewalls 30 of dielectric 12 are clearly undercut. Such a result may not
`
`be a problem when the dimensions of said second pattern substantially exceed the
`
`dimensions of the first pattern, since the undercut regions would be etched anyway.
`
`However, it will be a problem for cases in which the dimensions of the first and
`
`second patterns are similar, as shown in FIG. 2D, since the undercut sidewall
`
`profile will persist in the final structure. Such undercutting makes critical
`
`dimension (CD) control more difficult and produces cavities that are more difficult
`
`
`
`IPR2016-01379 Page 0009
`
`

`

`
`
`to line with a conductive liner and fill with a conductive wiring material 22. An
`
`additional problem encountered with this technique is that resist layer 26 is
`
`necessarily thicker over the via areas. For positive-tone resist systems, this thicker
`
`resist will require higher dose exposures, with consequent loss in CD control.
`
`
`
`FIGS. 3A-3G show a first preferred modification of the FIGS. 1A-1L, "twice
`
`patterned single mask layer" process described above, in cross section view. The
`
`process of FIGS. 3A-3G differs from that of FIGS. 1A-1L by the addition of a
`
`sidewall liner which may remain in the final structure. In addition, the first and
`
`second patterns to be transferred are the wiring and via patterns in the process of
`
`FIGS. 3A-3G, as opposed to the via and wiring patterns in the process of FIGS.
`
`1A-1L.
`
`
`
`FIG. 3A shows the structure of FIG. 1C after application of an overlayer of resist
`
`34 analogous to resist layer 16, but patterned with a wiring level pattern. Hard
`
`mask layer 14 is then patterned with the wiring pattern of resist layer 34, to
`
`produce the structure of FIG. 3B. The wiring pattern of resist layer 34 is then
`
`transferred to dielectric layer 12, and preferably to dielectric etch stop layer 10 as
`
`well, to form cavity 36 in FIG. 3C. A thin layer of conductive or insulating liner
`
`material 38 that may also be used as a hard mask is then conformally deposited
`
`over the topography of FIG. 3C to form the lined cavity 40 shown in FIG. 3D.
`
`Possible hard mask/liner materials for hard mask/liner material 38 include
`
`conductive barrier materials such as the metals W, Ta, Ti, Zr, Cr, or TaNHf, the
`
`metal nitrides WN, TaN, TiN, ZrN, HfN, and metal silicon nitrides such as TaSiN,
`
`TiSiN, ZrSiN, and HfSiN, semiconductors such as amorphous hydrogenated
`
`silicon (a-Si:H), and insulators such as SiO2, and Si3N4, and SiCOH compounds.
`
`
`
`IPR2016-01379 Page 0010
`
`

`

`
`
`Hard mask/liner material 38 is preferably conducting if any of it is to be left in the
`
`final structure.
`
`
`
`FIG. 3E shows the structure of FIG. 3D after application of an overlayer of resist
`
`42 patterned with a via level pattern. In the event patterned resist 42 is misaligned,
`
`patterned resist 42 may be removed by a process such as ashing or wet chemical
`
`etching without damaging the sidewalls of dielectric layer 12 or the top surface of
`
`dielectric layer 8. The steps of applying an overlayer of resist 42 and patterning
`
`resist 42 may be repeated until patterned resist 42 is properly aligned. The pattern
`
`of resist layer 42 is then transferred to hard mask/liner layer 38, to produce the
`
`structure of FIG. 3F, and then transferred further to dielectric layers 8 and 7 to
`
`produce the dual relief cavity 44 in FIG. 3G. After optional removal (not shown) of
`
`some or all of patterned hard mask/liner 38 by a process such as selective etching
`
`process such as CMP, RIE, or wet etching, the structure is overfilled with a
`
`conductive material and planarized, as shown in FIGS. 1K and 1L. Any portions of
`
`hard mask/liner 38 remaining above dielectric 12 after the final polishing step are
`
`preferably removed before fabrication of any overlying wiring or via levels.
`
`
`
`FIGS. 4A-4F show a second preferred modification of the FIGS. 1A-1L. "twice
`
`patterned single mask layer" process, in cross section view. The process of FIGS.
`
`4A-4F differs from that of FIGS. 1A-1L by the addition of a disposable sidewall
`
`coating which is removed from the structure at an intermediate stage in processing.
`
`However, it is similar to the prior art FIGS. 1A-1L process in that a single hard
`
`mask layer is patterned twice, first with a via pattern and then with a wiring
`
`pattern.
`
`
`
`
`
`IPR2016-01379 Page 0011
`
`

`

`
`
`FIG. 4A shows the structure of FIG. 1F after application of thin disposable liner 46
`
`conformally deposited over the topography of FIG. 1F to form lined cavity 50.
`
`Liner 46 may be conductive or insulating, and is preferably selected from the
`
`group of materials resistant to the oxygen ashing or wet chemical etching of the
`
`resist stripping process, and preferably has a thickness between 1 and 50 nm.
`
`Possible liner materials include conductive materials such as the metals W, Ta, and
`
`Cr, ormetal nitrides such as WN, TaN, TiN, ZrN, and Hfn, metal silicon nitrides
`
`such as TaSiN, TiSiN, ZrSiN, and HfSiN, and insulating materials such as
`
`amorphous hydrogenated silicon (a-Si:H), SiO2, and Si3N4, SiOxNy, SiCOH
`
`compounds, SiDLC, and other silicon-containing materials. Resist layer 18
`
`patterned with a wiring level pattern is then formed on the structure of FIG. 4A. If
`
`resist layer 18 must be reworked, liner 46 will protect dielectric 12 from damage
`
`during processing.
`
`
`
`If alignment of resist layer 18 with the via level pattern is satisfactory, the wiring
`
`level pattern is then transferred into disposable liner 46 and, hard mask 14, and
`
`etch stop 10 to form the structure of FIG. 4C which is shown with the disposable
`
`liner sidewalls 52 which may sometimes be left after disposable liner 46
`
`patterning. The wiring pattern of hard mask 14 is then transferred into dielectric
`
`layers 12 and 10 while the via pattern in dielectrics 12 and 10 is transferred into
`
`dielectrics 8 and 7. If sidewall liner 52 is still present after these etching steps, it is
`
`removed by a selective etching process such as RIE or wet etching to produce the
`
`structure of FIG. 4D containing cavity 54 which iswould then be overfilled with
`
`conductive material 22 and planarized as shown in FIGS. 1K -and 1L.
`
` A
`
` satisfactory approximation to the structure of FIG. 4C may be formed from the
`
`structure of FIG. 1F by etching exposed etch stop 10 in such a manner as to
`
`
`
`IPR2016-01379 Page 0012
`
`

`

`
`
`redeposit etch stop material to form sidewall liners 52, as illustrated in FIG. 4E. A
`
`preferred resputtering process to form sidewall liners 52 would be ion beam
`
`sputtering or low pressure, high bias voltage RIE.
`
`
`
`Alternatively, the structures of FIGS. 4E and 4F might be formed by the selective
`
`deposition of a liner material 55 on the sidewalls of dielectric 12 or on both the
`
`sidewalls of dielectric 12 and the exposed top surface of dielectric etch stop 10.8.
`
`Selectively deposited liner material 55 might be deposited by selective chemical
`
`vapor deposition, or by a surface modification treatment which could, for example,
`
`selectively add Si or SiOx functionality to exposed surfaces of an organic dielectric
`
`not containing Si.
`
`
`
`Dual pattern hard masks may comprise a first layer of a first material with a first
`
`pattern and a second layer of a second material with a second pattern. While
`
`materials of said first and second mask layers may be the same (and deposited as a
`
`single layer), they are preferably different. More generally, a dual pattern hard
`
`mask may comprise a first set of one or more layers with a first pattern, and a
`
`second set of one or more layers with a second pattern, materials of said first and
`
`second sets of layers selected respectively from a first group of materials and a
`
`second group of materials.
`
`
`
`FIGS. 5A-5E show a first preferred embodiment of a method for forming a dual
`
`pattern hard mask comprising a first layer of a first material with a first pattern,
`
`and a second layer of a second material with a second pattern; FIGS. 5F-5H show
`
`how this dual pattern hard mask may be used to fabricate a dual relief cavity for
`
`use in a Dual Damascene process. For purposes of illustration, one of said first and
`
`second patterns will be a via level pattern, and the other of said first and second
`
`
`
`IPR2016-01379 Page 0013
`
`

`

`
`
`patterns will be a wiring level pattern. However, thethis combination of via and
`
`wiring level patterns should be viewed as a special casescase of the general
`
`category of dual relief patterns in which all features of a smaller area (via) pattern
`
`completely fit withinsubstantially overlap with the features of a larger area
`
`(wiring) pattern.
`
`
`
`FIG. 5A shows the structure of FIG. 1B after application of lower hard mask layer
`
`56 and upper hard mask layer 58. Hard mask layers 56 and 58 are preferably
`
`formed from different materials which have different etch properties from each
`
`other and from the dielectric underlayers 12 and 8. For example, lower hard mask
`
`layer 56 might be formed from Si3N4 and upper hard mask layer 58 might be
`
`formed from SiO2. Other suitable hard mask materials may include SiO2-based
`
`materials, other oxides, nitrides other than Si3N4, carbon-based dielectrics,
`
`andSiC-based dielectrics, polycrystalline silicon, and amorphous hydrogenated
`
`silicon, and metals. A first resist layer 60, patterned with a first (wiring level)
`
`pattern, is formed on layer 58 to form the structure of FIG. 5B. If resist layer 60 is
`
`misaligned, rework at this stage presents no problem. The pattern of resist layer 60
`
`is transferred into upper hard mask layer 58 by an etching process to form the
`
`structure of FIG. 5C. The etching process is preferably selective, for example a
`
`selective SiO2 to Si3N4 etch, so that lower hard mask layer 56 will remain intact
`
`during any overetching of hard mask layer 58.
`
`
`
`Patterned resist layer 60 is then removed by a process such as ashing or wet
`
`chemical etching, and a second resist layer 62, patterned with a second (via level)
`
`pattern, is then formed on the structure of FIG. 5C to produce the structure of FIG.
`
`5D. Again, resist rework at this stage presents no problem because lower hard
`
`
`
`IPR2016-01379 Page 0014
`
`

`

`
`
`mask layer 56 is still in place to protect dielectric 12. The pattern of resist layer 62
`
`is then transferred
`
`into lower hard mask layer 56. FIG. 5E shows the completed dual pattern hard
`
`mask, comprising patterned hard mask layers 56 and 58, with patterned resist layer
`
`62 still in place.
`
`
`
`The via level pattern is then transferred into dielectric 12 by an etching process
`
`such as reactive ion etching, to produce the structure of FIG. 5F. Patterned second
`
`resist layer 62 is absent from FIG. 5F because it is typically removed by the
`
`etching process used to pattern dielectric 12. The etching conditions are then
`
`changed to removed exposed portions of lower hard mask layer 56 and optional
`
`etch stop 10, to form the structure of FIG. 5G. Dielectrics 8 and 12 are then etched
`
`to transfer said second pattern into the entire thickness of dielectric 12, and said
`
`first pattern into the entire thickness of dielectric 8, as shown in FIG. 5H. The
`
`cavity structure may then be completed as shown in FIG. 1J, and, for interconnect
`
`applications, filled with wiring material 22 as shown in FIGS. 1K toand 1L.
`
`
`
`FIGS. 6A-6F show a trilayer variation of the FIG. 5 method for forming a dual
`
`pattern hard mask; FIGS. 6G-6J show how this dual pattern hard mask may be
`
`used to fabricate a dual relief cavity for use in a Dual Damascene process. This
`
`trilayer variation may be preferable to the FIG. 5 dual layer dual pattern hard mask
`
`because it provides a resist-free dual pattern hard mask prior to any pattern transfer
`
`into the substrate. This can be desirable when resist loading is a concern, or if the
`
`resist thickness has to be thinned to allow its removal to coincide with the endpoint
`
`of the cavity patterning process.
`
`
`
`
`
`IPR2016-01379 Page 0015
`
`

`

`
`
`FIG. 6A shows the structure of FIG. 1B after application of lower hard mask layer
`
`66, middle hard mask layer 68, and upper hard mask layer 70. hard mask layers 66,
`
`68, and 70 are preferably formed from materials having different etch properties
`
`than dielectric underlayers 12 and 8. Hard mask layers 66 and 70 may be formed
`
`from the same material, but preferably one different from that of hard mask layer
`
`68. For example, lower hard mask layer 66 might be formed from a 20 nm
`
`thickness of Si3N4, middle hard mask layer 68 might be formed from a 50 nm
`
`thickness of SiO2, and upper hard mask layer 70 might be formed from a 40 nm
`
`thickness of Si02.Si3N4. Other suitable hard mask materials may include SiO2-
`
`based materials, other oxides, nitrides other than Si3N4, carbon-based dielectrics,
`
`and SiC-based dielectrics, polycrystalline silicon, and amorphous hydrogenated
`
`silicon, and metals.
`
` A
`
` first resist layer 72, patterned with a first (wiring level) pattern, is formed on
`
`layer 70 to form the structure of FIG. 6B. If resist layer 72 is misaligned, rework at
`
`this stage presents no problem. The pattern of resist layer 72 is transferred into
`
`upper hard mask layer 70 by an etching process. Said etching process might
`
`preferably be selective with respect to hard mask layer 68, but it may be
`
`nonselective as well. Patterned resist layer 72 is then removed by a process such as
`
`ashing or wet chemical etching to form the structure of FIG. 6C.
`
` A
`
` second resist layer 74, patterned with a second (via level) pattern, is then formed
`
`on the structure of FIG. 6C to produce the structure of FIG. 6D. Again, resist
`
`rework at this stage presents no problem. The pattern of resist layer 74 is then
`
`transferred into middle hard mask layer 68 by an etching process. Said etching
`
`process is preferably selective with respect to hard mask layer 66, for example, a
`
`selective oxide to nitride etch for the preferred hard mask layer materials cited
`
`
`
`IPR2016-01379 Page 0016
`
`

`

`
`
`above. Patterned resist layer 74 is then preferably removed by a process such as
`
`ashing or wet chemical etching to form the structure of FIG. 6E. The via pattern of
`
`patterned hard mask layer 68 is then transferred to bottom hard mask layer 66 by
`
`an etching process that may be selective or nonselective to produce the completed,
`
`resist-free trilayer dual pattern hard mask of FIG. 6F, comprising patterned hard
`
`mask layers 66, 68, and 70.
`
`
`
`The via level pattern is then transferred into dielectric 12 by an etching process
`
`such as reactive ion etching, to produce the structure of FIG. 6G. The etching
`
`conditions are then changed to removed exposed portions of middle hard mask
`
`layer 68 and optional etch stop 10, to form the structure of FIG. 6H with wiring
`
`level pattern contained in middle and top hard mask layers 68 and 70. The wiring
`
`level pattern is then transferred into bottom hard mask layer 66 by an etching
`
`process that would typically also remove the exposed portions of hard mask 70, to
`
`produce the structure of FIG. 6I. Dielectrics 8 and 12 are then etched to transfer
`
`said second (wiring level) pattern into the entire thickness of dielectric 12, and said
`
`first (via level) pattern into the entire thickness of dielectric 8, as shown in FIG. 6J.
`
`The cavity structure may then be completed as shown in FIG. 1J, and, for
`
`interconnect applications, filled with wiring material as shown in FIGS. 1K to 1L.
`
`
`
`FIGS. 7A-7E show a second preferred embodiment of a method for forming a dual
`
`pattern hard mask comprising a first layer of a first material with a first pattern,
`
`and a second layer of a second material with a second pattern; and FIGS. 7F-7I
`
`show how this dual pattern hard mask may be used to fabricate a dual relief cavity
`
`for use in a Dual Damascene process. The main difference between the second
`
`embodiment of FIGS. 7A-7I and the first embodiment of FIGS. 5A-5H is the order
`
`
`
`IPR2016-01379 Page 0017
`
`

`

`
`
`in which the via and wiring level patterns are transferred into the two layers of the
`
`dual pattern hard mask.
`
`
`
`FIG. 7A shows the structure of FIG. 1B after application of lower hard mask layer
`
`76 and upper hard mask layer 78. Hard mask layers 76 and 78 are preferably
`
`formed from different materials which have different etch properties from each
`
`other and from the dielectric underlayers 12 and 8. For example, lower hard mask
`
`layer 76 might be formed from Si3N4 and upper hard mask layer 78 might be
`
`formed from SiO2. A first resist layer 80, patterned with a via level pattern, is
`
`formed on layer 78 to form the structure of FIG. 7B. If resist layer 80 is
`
`misaligned, rework at this stage presents no problem. The pattern of resist layer 80
`
`is transferred into upper hard mask layer 78 by an etching process to form the
`
`structure of FIG. 7C. Said etching process is preferably selective, for example a
`
`selective SiO2 to Si3N4 etch, to ensureinsure that lower hard mask layer 76 will
`
`remain intact during any overetching of hard mask layer 78.
`
`
`
`Patterned resist layer 80 is then removed by a process such as ashing or wet
`
`chemical etching and a second resist layer 82, patterned with a wiring level pattern,
`
`is then formed on the structure of FIG. 7C to pro

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket