throbber
U.S. Expr
`.
`
`'2 Mail No. EL372083921US
`.rney Docket No.: AM-1776
`
`IN THE UNITED STATES PATENT AND TRADEMARK OFFICE
`





`



`
`§ D
`
`GROUP ART UNIT: 1753
`
`EXAMINER:
`
`.1. Mercado
`
`Attorney Docket No.:
`
`AM-1776
`
`ate:
`
`February 1, 2000
`
`/4
`
`9&7;
`.f 3
`19//5700
`
`RE APPLICATION OF: Peijun Ding et al.
`
`SERIAL NO.: 08/995,108
`
`FILED: December 19, 1997
`
`FOR: A TAILORED BARRIER LAYER WHICH
`PROVIDES IMPROVED COPPER INTERCONNECT
`
`ELECTROMIGRATION RESISTANCE
`
`AMENDMENT "A"
`UNDER 37 C.F.R.
`1.111
`
`Hon. Assistant Commissioner of Patents
`
`Washington, D.C. 20231
`
`Sir:
`
`This Amendment “A” is in response to the Office Action mailed September 2, 1999, having
`
`a shortened statutory period for response of December 2, 1999. A Petition for a two month
`
`extension oftime to reply accompanies this Amendment “A”, to extend the time to respond through
`
`February 2, 1000.
`
`Claims 1 - 27 are pending in the application.
`
`
`
`-
`0
`..........................................................................................................-_.L-_'4___-__--- E-J
`CERTIFICATE OF MAILING UNDER 37 CFR 1.10
`
`I
`
`83
`
`{"71
`Pdstal 2
`I hereby certify that this paper and any documents said to accompany this paper are being deposited with the
`Service on the date shown below with sufficient postage as U.S. EXPRESS MAIL NO. EL372083921US in afenvelgpe {T1
`addressed to the: Assistant Commissioner for Patents, Box Non-Fee Amendment, Washington DC 20231.
`g C]
`
`////z/;/cg
`
`
`hurchi, Reg. No.31 ,858
`
`Date: February 1, 200006995108
`OE/16/2000 tiiiIICli1_L 00000001 110300
`
`01 i-"C2102
`
`""""7a:W1:rr""'""""'“““""'"'“""'"""""'“"""""“'""""""'““"""""""""""""
`
`Page 1 Of 22
`
`IP Bridge Exhibit 2002
`TSMC v. IP Bridge
`|FfR20\1 6-O1
`
`Page 1 of 22
`
`

`
`..
`
`U.S. Exp’ ‘ ‘°. Mail No. EL372083921US
`
`rney Docket No.: AM-1776
`
`.,
`
`Claims 1 - 27 are subject to restriction requirement.
`
`Claims 21 - 27 are rejected under 35 USC § 112, first paragraph, as containing subject matter
`
`which was not described in the specification in such a way as to enable one skilled in the art to which
`
`it pertains, or with which it is most nearly connected, to make and/or use the invention.
`
`Claims 22 and 24 - 26 are rejected under 35 USC § 112, first paragraph, as being dependent
`
`upon a rejected base claim.
`
`Claims 21 - 27 are rejected under 35 USC § 112, second paragraph, as being indefinite for
`
`failing to particularly point out and distinctly claim the subject matter which applicant regards as the
`
`invention.
`
`Claims 22 and 24 - 26 are rejected under 35 USC § 112, second paragraph, as being dependent
`
`upon a rejected base claim.
`
`Claims 8 - 11, 14, 15, and 17 are rejected under 35 USC § 102(b) as being anticipated by U.S.
`
`Patent No. 5,281,485, to Colgan et al.
`
`Claims 8 - 17 are rejected under 35 USC § 103(a) as being unpatentable over U.S. Patent No.
`
`5,391,517, to Gelatos et al., in combination with U.S. Patent No. 5,676,587, to Landers et al.
`
`Claims 8 - 17 and 21 - 26 are rejected under 35 USC § 103(a) as being unpatentable over U.S.
`
`Patent No. 4,985,750, to Hoshino, in view of Landers et al.
`
`Claims 8 — 17 are rejected under 35 USC § 103(a) as being unpatentable over U.S. Patent No.
`
`5,240,880, to Hindman et al., in view of either Landers et al. or Hoshino.
`
`Claims 12, 13, and 16 are rejected under 35 USC § 103(a) as being unpatentable over Colgan
`
`et al., in view of either Landers et al., Gelatos et al., or Hoshino.
`
`Claims 18 - 20 are rejected under 35 USC § 103(a) as being unpatentable over Gelatos et al.,
`
`in combination with Landers et al., as applied to Claims 8 - 17, above, and further in view of U.S.
`
`Patent No. 5,707,498, to Ngan.
`
`Page 2 of 22
`
`Page 2 of 22
`
`

`
`
`
`
`
`:__.___.__..-._______\-_....
`
`U.S. Exp"“s Mail No. EL372083921US
`mey Docket No.: AM-1776
`
`Claims 18 - 20 and 27 are rejected under 35 USC § 103(a) as being unpatentable over Hoshino,
`
`in view of Landers et al., as applied to Claims 8 - 17, above, and further in view of Ngan.
`
`Claims 18 - 20 are rejected under 35 USC § 103(a) as being unpatentable over Colgan et al.,
`
`in view of either Landers et al., Gelatos et al., or Hoshino, as applied to Claims 12, 13, and 16,
`
`above, and further in view of Ngan.
`
`Please amend the application as follows:
`
`IN THE SPECIFICATION:
`
`/
`:/
`/
`Page Kane 7, prior to "crystallog phic", please delete "{111}" and insert - - <111>- -;
`/
`line , prior to "conte1‘t/,})lease delete "{1 1}", and insert - - <111>; and,
`line 1
`, prior to "crysta‘I",/1)lease delete ''{11 %d insert - - <11’l>/— -.;
`Page Sffie 1, 2é"aluminum", please delete "{111 ", and ' .sert - - <1 1> - -;
`line 1
`, prior to "crystalkrfise delete "{111 ", and insert - - <1
`— - ; and,
`line 1
`, after "high", please delete "{1 1141 insert- - <11 > - -.
`
`Page 6, after line 17 and prior to line 18, please insert the following as a new paragraphs.
`
`- - We have also developed a method of producing a copper interconnect structure comprising a
`
`copper layer deposited over a barrier layer structure of the kind described above, comprising a Ta
`
`layer overlying a TaNx layer, where the Cu <11 1> crystallographic content is at least 70 % of the Cu
`
`<111> crystallographic content which can be obtained by depositing the copper layer over a pure
`
`Ta barrier layer which is about 500 A thick. The method comprises the steps of :
`
`a) depositing a first layer of TaNx having a thickness ranging from greater than about 50 A to
`
`about 1,000 /3.;
`
`b) depositing a second layer of Ta having a thickness ranging from about 5 A to about 500 A
`
`over the surface of the first layer of TaNx; and
`
`c) depositing a third layer of copper over the surface of the second layer of Ta, wherein at least
`
`a portion of the third layer of copper is deposited using a physical vapor deposition technique, and
`
`Page 3 of 22
`
`Page 3 of 22
`
`

`
`.
`
`U.S. Exp "‘ Mail No. EL372083921US
`
`ney Docket No.: AM-1776
`
`wherein the substrate temperature at which the third layer of copper is deposited is less than about
`
`500°C.
`
`Further, we have developed a method of producing a copper-comprising contact via structure
`
`comprising a copper layer deposited over a barrier layer structure of the kind described above,
`
`comprising a Ta layer overlying a TaN, layer, wherein the Cu <1 11> crystallographic content is at
`
`least 70 % of the Cu <1l1> crystallographic content which can be obtained by depositing said
`
`copper layer over a pure Ta barrier layer which is about 300 A thick. The method comprises the
`
`steps of:
`
`#4,
`
`.
`
`I
`
`a) depositing a first layer of TaNx having a thickness ranging from greater than about 10 A to
`,
`
`about 300 A;
`b) depositing a second layer of Ta having a thickness ranging from about 5 A to about 300 A
`
`over the surface of said first layer of TaNx; and
`
`c) depositing a third layer of copper over the surface of the second layer of Ta, wherein at least
`
`a portion of the third layer of copper is deposited using a physical vapor deposition technique, and
`
`wherein the substrate temperature at which the third layer of copper is deposited is less than about
`
`500°C.
`
`In the method of producing a copper-comprising contact structure described above, a least
`
`a portion of the first layer of TaN,, or the second layer of Ta, or the third layer of Cu, or at least a
`
`portion of more than one of these three layers may be deposited using ion-deposition sputtering,
`
`where at least a portion of the sputtered emission is in the form of ions at the time the emission
`
`reaches the substrate surface, and where, typically 10 % or more of the sputtered emission is in the
`
`form of ions at the time the emission reaches the substrate surface. --
`
`Page 6,,lvi{e 21, a'lQ"copper", pleasedefe "{lll/}"'/and insert - - <1/1> — — .
`Pageflfiie 4, afie{"high", please delete "{1l }", and in
`{- <11l> - - .
`Page 12,46 16,
`
`er "tantalum", please delete "{O0 }", and insert - - <002> - -; and,
`
`Page 4 of 22
`
`Page 4 of 22
`
`

`
`“
`
`U.S. Exp ' Mail No. EL372083921US
`
`mey Docket No.: AM-1776
`
`}", and insert - - <111> - - .
`line/Yater "high", please delete "{1
`/
`Page 13, 1344, aft r "copper", please delete "{111}",(and insert - - <11 > - -; and,
`line/8,/ter "copper",'fiQe delete "{111’}",/and insert - - <1 1
`line 10, after "copper", pleaseflgete "{111}", and insert — - <11 > - -;
`/
`
`line léfter "copper",please delete "{11Tfiand insert- - <11§-;
`line léétfter "copper”,/please delete "{111}",/and insert - - <111> - -;
`/
`line 20,611/er "coppefglease delete "{111 ", and insert - - <111> - -; /
`
`line 23
`rior to "crystal1ograph'c", please delete "{11 }", and insert - - <111> ~ -.
`Page 14 me 15, pgto "intensity", please delete "{111}
`
`"Qinsert--<111>--;
`
`line 1
`
`, prior to "C S“, please delete "{11
`
`line 19, prior to "orientation", please delete "{11
`
`line 2 , prior to "FWH ", please delete "{1
`
`', and insert - - <
`
`1> - -;
`J
`, and insert - - <111> - - ; and,
`/
`", and insert - - <111> - - .
`
`Page 15,’line 8, gr to '(ysta1lographic", plefidelete "fllfi and i . sert - - <111> - - ;
`1ine13,/after "Cu' , please delete "{1l1}", and insert - - <1 1 1>
`line 1
`, after "Cu", please delete "{111 ', and insert - - <117'
`line 2 ,after"Cu",1£1se delete "{11,1’}",/and/insert — - <11 //
`
`> _ _.
`
`insert - - <111>- -' “d
`line 2 , after "C ‘, please delete "{111 ",
`.
`.
`/
`
`d
`rt——<111>--.
`,
`fte "C ,1
`d1t"111",
`an mse J
`me
`u p ease e e e
`a
`r
`{
`}
`Page 16 me 3, af@Cu", pl
`se delete "{111} , and insert - - <111> - -'
`
`1
`
`line , after "Cu", pl
`
`se delete "{1l1}", and insert - - <111> - -;
`
`line 11@"Cu",1)l/asedelete"{111f§2l’insert-—411%
`line14 u",pleasedelete"{111}',and' sert—-<14
`
`
`- —v;’and
`line 15,
`ftei‘/"c<;p;§er", please delete "{11
`", and insert — — <111
`lin
`9‘,after "high",pl
`A we "{’ 11}",andinsert- -<11‘1/. K
`Page ct), line 2 gh", please delete "{111’1",/and insert - - <111> - -.
`
`Page 5 of 22
`
`Page 5 of 22
`
`

`
`U.S. Exp
`.».
`
`Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`IN THE CLAIMS:
`
`Please cancel Claims 1 - 7 without prejudice, as being to a non-elected invention.. Please
`
`amend Claims 8, 21, 23, and 27 as follows.
`
`Claims not being amended are presented in italicsfor reference purposes only.
`
`
`
`8.
`
`(Once Amended) A method ofproducing a combined barrier layer and wetting layer structure
`
`[useful] which is used in combination with a conductive layer, said method comprising the steps of:
`
`a)
`
`depositing a first layer of TaNx having a thickness ranging from greater than about 10 A
`
`to about 1,000 A; [and]
`
`b)
`
`depositing a second layer of Ta having a thickness ranging from about 5 A to about
`
`;4a2
`
`500 A; and
`
`c)
`
`depositing a conductive layer over a surface of said second layer of Ta wherein the
`
`substrate temperature during said conductive layer deposition and in subsequent processing steps is
`
`less than about 500 °C .
`
`
`
`9. (Once Amended) The method of Claim 8, wherein [the] @ conductive layer is copper.
`
`I 0. The method ofClaim 8, wherein saidfirst layer of TaN, is deposited upon a substrate having
`
`a substrate temperature rangingfrom about 25 °C to about 500 °C.
`
`11. The method ofClaim 8, wherein said second layer of Ta is deposited upon a substrate having
`
`a substrate temperature rangingfiom about 25 °C to about 500 ‘’C.
`
`Page 6 of 22
`
`Page 6 of 22
`
`

`
`.
`
`U.S. Exp“rMail No. EL372083921US
`
`ney Docket No.: AM-1776
`
`
`
`C057?»
`
`12.
`
`(Once
`
`ended) ThemethodofClaim 8,whereinsaidbarrierlayerisused inaninterconnect
`
`structure, and herein the thickness of said TaN,, layer ranges from about 50 /°\ to about 1,000 /3\ and
`
`}43 the thickness 0 said Ta layer ranges from about 20 /°\ to about 500 /3\.
`
`13.
`
`(Once Amended) The method of Claim 8, wherein said combined barrier layer and wetting
`
`layer structure is used in a contact via structure, and wherein the thickness of said TaNx layer ranges
`
`from about 10 A to about 300 A and the thickness of said Ta layer ranges from about 5 A to about
`
`300 /3..
`
`14. The method ofClaim 8, or Claim 12, or Claim 13, where x ranges"from about 0.1 to about 1.5.
`
`15. The method of Claim 8, wherein at least a portion of said Ta layer is deposited using a
`
`traditional, standard sputtering technique.
`
`16. The method of Claim 12, wherein at least a portion of said Ta layer is deposited using a
`
`traditional, standard sputtering technique.
`
`II ‘
`
`ll 1 j
`
`(Once Amended) The method of Claim 8, wherein at least a portion of [the] @ TaN, layer
`17.
`is deposited using a traditional, standard sputtering technique.
`
`18. The method of Claim 8, wherein at least a portion of said Ta layer is deposited using ion-
`
`deposition sputtering.
`
`19. The method of Claim 13, wherein at least a portion ofsaid Ta layer is deposited using ion-
`
`deposition sputtering.
`
`Page 7 of 22
`
`1
`
`l
`
`Page 7 of 22
`
`

`
`U.S. ExmMail No. EL372083921US
`
`ney Docket No.: AM—1776
`
`
`
`20.
`
`(Once Amended) The method of Claim 8, wherein at least a portion of [the] gig TaNx layer
`
`is deposited using ion-deposition sputtering.
`
`21.
`
`(Once Amended) A method of producing a copper interconnect structure comprising [the] a
`
`combined TaN,,/41 barrier layer and wetting layer, [of Claim 1] and an overlying copper layer,
`
`wherein the Cu [{l1 1}] i crystallographic content of said overlying copper layer is at least 70
`
`% of the Cu [{1l1}] i crystallographic content which can be obtained by depositing said
`
`copper layer [using] E; a pure Ta barrier layer which is about 500 A thick, said method comprising
`
`2416-’ the steps of:
`
`a)
`
`depositing a first layer of TaN,, having a thickness ranging from greater than about 50 A
`
`to about 1,000 A;
`
`b)
`
`depositing a second layer of Ta having a thickness ranging from about 5 A to about
`
`500 A over the surface of said first layer of TaNx ; and
`
`c)
`
`depositing a third layer of copper over the surface of said second layer of Ta, wherein at
`
`least a portion of said third layer of copper is deposited using a physical vapor deposition technique,
`
`and wherein the substrate temperature at which said third layer of copper is deposited is less than
`
`about 500°C.
`
`22. The method of Claim 21, wherein said copper interconnect structure is annealed at a
`
`temperature ofless than about 500 °C.
`
`
`
`23.
`
`(Once Amended) A method ofproducing a copper—comprising contact via structure comprising
`
`[the] a combined TaNx@ barrier layer and wetting layer, [of Claim 1] and an overlying copper
`
`layer, wherein the Cu [{111}] < 11 1 > crystallographic content of said overlying copper layer is at
`
`least 70 % ofthe Cu {l 1 1 } crystallographic content which can be obtained by depositing said copper
`
`Page 8 of 22
`
`Page 8 of 22
`
`

`
`0
`
`U.S. ExpflMail No. EL372083921US
`
`ney Docket No.: AM-1776
`
`1
`
`layer [using] over a pure Ta barrier layer which is about 300 A thick, said method comprising the
`
`steps of:
`
`a)
`
`depositing a first layer of TaNx having a thickness ranging from greater than about 10 A
`
`/%é to about 300 /°\;
`{
`b)
`depositing a second layer of Ta having a thickness ranging from about 5 A to about
`W 300 A over the surface ofsaid first layer ofTaNx ; and
`c)
`depositing a third layer of copper over,the surface of said second layer ofTa, wherein at
`
`least a portion of said third layer of copper is deposited using a physical vapor deposition technique,
`
`and wherein the substrate temperature at which said third layer of copper is deposited is less than
`
`about 500°C.
`
`
`24. The method of Claim 23, wherein said contact-comprising structure is annealed at a
`
`temperature ofless than about 500 °C.
`
`25. The method ofClaim 23, wherein said copper layer is deposited at a temperature ofless than
`
`about 3 00 °C.
`
`26. The method ofClaim 25, wherein said structure is annealed at a temperature ofless than about
`
`500 °C.
`
`
`27.
`(Once Amen d) A method of producing a copper-comprising contact structure comprising
`
`7 [the] a combined Ta
`
`a barrier layer and wetting layer, [of Claim 1] and an overlying copper
`
`layer, wherein the Cu [{1 1}] < ll1> crystallographic content of said overlying copper layer is at
`
`least 70 % of the Cu [{111} <111> crystallographic content which can be obtained by depositing
`
`Page 9 of 22
`
`Page 9 of 22
`
`

`
`U.S. Expr"s ‘Mail No. EL372083921US
`ney Docket No.2 AM-1776
`
`comprising the steps of:
`
`said copper layer [using] ver a pure Ta barrier layer which is about 300 A thick, said method
`
`
`er of TaNx having a thickness ranging from greater than about 10 A
`depositing a first 1
`
`
`a)
`
`to about 300 A;
`
`b)
`
`depositing a second la er of Ta having a thickness ranging from about 5 A to about
`
`247
`300 A over the surface of said first ayer of TaN,( ; and
`[’m7“J
`
`(
`
`0)
`
`depositing a third layer of opper over the surface of said second layer of Ta, wherein at
`
`least a portion of said third layer of cop
`
`r is deposited using a physical vapor deposition technique,
`
`
`
`and wherein the substrate temperature at hich said third layer of copper is deposited is less than
`
`about 500 °C,
`
`
`
`
`wherein at least a portion of said first lay , or said second layer, or said third layer, or a portion
`
`o_f_a combination of said layers [thereof], is de
`sited using ion-deposition sputtering.
`
`
`REMARKS
`
`Applicants hereby confirm the election of Group II, Claims 8 - 27, made in view ofa restriction
`
`requirement, during a telephone conversation between Examiner Jason Resnick ofArt Unit No. 1 775
`
`and the undersigned attorney of record on August 5, 1999. The Group I claims, Claims 1 - 7 are
`
`canceled herein, without prejudice, as being non-elected claims under the restriction requirement.
`
`Prosecution of Claims 1 - 7 will be pursued in a subsequently filed divisional application.
`
`The Specification has been amended to include a portion of the invention which was disclosed
`
`in independent Claims 21 , 23, and 27 at the time ofthe application was originally filed. In particular,
`
`the subject matter of independent Claims 21, 23, and 27 from the originally~filed application has
`
`been inserted into the Summary of the Invention. Applicants may, as a matter of right, subsequently
`
`add to the Specification (Summary ofInvention) the subject matter which was disclosed in originally
`
`filed Claims 21 - 27.
`
`10
`
`Page 10 of 22
`
`Page 10 of 22
`
`

`
`.
`
`U.S. Exp "9 Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`Claims 8 - 27 are presently pending in the application. In order to advance the prosecution of
`
`the present application, Claim 8 has been amended to recite that
`
`the first layer of TaNx and the
`
`second layer of Ta together form a combined barrier layer and wetting layer useful in combination
`with a conductive layer. This amendment should not be construed as agreement with or
`
`acquiescence to the Examiner’s grounds for rejection of any of the claims in the application. All of
`
`the amendments to the claims set forth above are fully supported by the specification and drawings
`
`as originally filed.
`
`Both the Specification and the Claims have been amended to correct a formal matter, where
`
`the {
`
`} bracketing of the crystallographic content lattice numbers has been replaced with < >
`
`bracketing, which is recognized in the art as being the more technically correct form of bracketing.
`
`Claim Rejections Under 35 USC § 112
`
`Claims 21 - 27 are rejected under 35 USC § 112, first paragraph, as containing subject matter
`
`which was not described in the specification in such a way as to enable one skilled in the art to which
`
`it pertains, or with which it is most nearly connected, to make and/or use the invention. The
`
`Specification has been amended to include the subject matter of Claims 21, 23, and 27, which
`
`subject matter was a part of the disclosure in the application as originally filed. Claims 21, 23, and
`
`27 recite that if one skilled in the art follows applicants’ method, a Cu <11l> crystallographic
`
`content of at least 70% will be obtained. This is all that is necessary to enable one skilled in the art
`
`to make and/or use the invention. One skilled in the art is able to make a copper interconnect
`
`structure (Claim 21) or a copper-comprising via structure (Claims 23 and 27) having a copper
`
`crystalline structure which is at least 70 % <1l1> in content by following the method steps. One
`
`skilled in the art will know how to use the interconnect or the contact via, as the use is well
`
`established in the art.
`
`11
`
`Page 11 of 22
`
`Page 11 of 22
`
`

`
`0
`
`U.S. Exp ~ Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`The Examiner may be inquiring about how one skilled in the art (or applicants) knows that a
`
`crystalline structure having at least 70 % <1 11> is obtained when the method is followed. There is
`
`a direct correlation between the data provided in Figure 2 and the copper <1 1 l> content. Applicants
`
`provided the intensity and FWHM data combination rather that the calculated copper <1 1 l> content,
`
`because they believed a one skilled in the art can better see the directional effect of the changing
`
`variables from this data, so that it is more meaningful than the copper <11 1> content which can be
`
`calculated based on the data.
`
`Claims 22 and 24 - 26 are rejected as being dependent upon a rejected base claim. Applicants
`
`believe these claims are now allowable in View of amendment of the Specification and the above
`
`explanation.
`
`The Examiner is respectfully requested to withdraw the rejection of Claims 21 - 27 under 35
`
`USC § 112, first paragraph.
`
`Claims 21 - 27 are rejected under 35 USC § 112, second paragraph, as being indefinite for
`
`failing to particularly point out and distinctly claim the subject matter which applicant regards as the
`
`invention. Claims 21 - 27 include reference to "the barrier layer of Claim 1". Claim 1 has been
`
`canceled in response to a Restriction Requirement, thereby rendering the scope of Claims 1 - 27
`
`indefinite. Claims 22 and 24 - 26 are rejected under 35 USC § 112, second paragraph, as being
`
`dependent upon a rejected base claim.
`
`In response to the rejection of Claims 21 - 27 under 35 USC § 112, second paragraph, the
`
`description of the structure to be obtained has been amended, and the reference to the "barrier layer
`
`of Claim 1" has been removed.
`
`In light of the above amendments to Claims 21, 23, and 27, withdrawal of the rejection of
`
`Claims 21 — 27 under 35 USC § 112, second paragraph, is respectfully requested.
`
`12
`
`Page 12 of 22
`
`Page 12 of 22
`
`

`
`.
`
`U.S. Exp " Mail No. EL372083921US
`irney Docket No.2 AM-1776
`
`All of the amendments to the claims set forth above are fully supported by the amended
`
`specification, and by the combination of the specification, claims, and drawings as originally filed.
`
`Applicants will now address each ofthe remaining claim rejections based on cited art, as raised
`
`by the Examiner.
`
`Claim Rejections Under 35 USC § 102
`
`Claims 8 - 11, 14, 15, and 17 are rejected under 35 USC § 102(b) as being anticipated by U.S.
`
`Patent No. 5,281,485, to Colgan et al.
`
`With respect to the rejection over Colgan et al., the Examiner has noted ". . .that the preamble
`
`recitation of a barrier layer useful in combination with a conductive layer was not given the effect
`
`of a limitation in the claim."
`
`In order to advance the prosecution of the present application,
`
`independent Claim 8 has been amended to make it clear that applicants are claiming a combined
`
`structure including a barrier layer and a wetting layer which is used in combination with a
`
`conductive layer. The claimed method is for producing a combined barrier layer and wetting layer
`
`structure which is used in combination with a conductive layer, wherein the method comprises
`
`particular steps. The method is limited to one which produces the specified combined barrier layer
`
`and wetting layer structure used in combination with a conductive layer.
`
`Colgan et al. discloses a method ofmaking A1pha—Ta thin films rather than the prior art Beta-Ta
`
`thin films. (Abstract, and Col. 1, lines 25 - 30 with Col. 3, lines 60 - 61.) The purpose is to lower
`
`the resistivity of the Ta thin film, as illustrated in Figure 2A of Colgan et al. To ensure the
`
`formation of Alpha Ta, a seed layer of Ta(N)is deposited on the substrate prior to deposition of the
`
`Ta layer. This contrasts with the present invention which relates to a method of forming a three-
`
`layer structure including a combined barrier layer and wetting layer structure (Ta/TaN,,) over which
`
`a conductive layer is deposited. Applicants’ Claim 8 has been amended to include a step c) in which
`
`13
`
`Page 13 of 22
`
`Page 13 of 22
`
`

`
`.
`
`U.S. Exp
`9 Mail No. EL372083921US
`‘mey Docket No.: AM-1776
`
`the conductive layer is deposited over the Ta wetting layer. Typically, the conductive layer is
`
`copper, as claimed in Claim 9. When the conductive layer is copper, the purpose of the invention
`
`is, as described in the Summary of the Invention, Page 5, lines 16 - 19, to form a Cu layer having
`
`a high <1 1 15 crystalline content, so that the electromigration resistance ofthe Cu layer is increased.
`
`Thus, applicants’ method includes the deposition of a conductive layer over the Ta layer, which is
` _*__=
`....________________________________$
`
`neither described or suggested in Colgan et al.. When the conductive layer is copper, the copper
`
`.§~“”"6“ ‘
`
`layer has increased electromigration resistance. This solves a problem pertaining to deposited
`
`copper layers which is neither mentioned or contemplated in Colgan et al.
`
`In addition, in forming the Ta(N) seed layer, Colgan et al. discloses at Col. 5, lines 22 - 32, that
`
`the doped tantalum seed layer is not the same as depositing Ta2N, which requires precise control of
`
`the gas composition during the deposition process. This contrasts with applicants’ Claim 14, where
`
`the x of TaN,, ranges from about 0.1 to 1.5. In the case of Ta2N, applicants’ x would be about 0.5.
`
`Thus, Colgan et al. teaches away from the present invention in teaching that x is not 0.5 or less.
`
`In light of the above, withdrawal of the rejection of Claims 8 and 9, and claims which depend
`
`therefrom, including Claims 10. 11, 14, 15, and 17 under 35 USC § 102(b), as being anticipated by
`
`Colgan et al., is respectfully requested.
`
`Claim Rejections Under 35 USC § 103
`
`Claims 8 - 17 are rejected under 35 USC § 103(a) as being unpatentable over U.S. Patent No.
`
`5,391,517, to Gelatos et al., in combination with U.S. Patent No. 5,676,587, to Landers et al.
`
`Gelatos et al. discloses a three-layer "interface layer" structure which overlies the surface of a
`
`dielectric layer and comprises, from bottom to top, a first titanium layer, a titanium nitride layer, and
`
`second titanium layer.
`
`(Abstract, lines 9 - 12.) Although Gelatos et al. suggests that other metals
`
`having the necessary adhesive and diffusion barrier characteristics can be employed to form the
`
`interface layer, the example provided is the use of titanium or tungsten or tantalum in place of
`
`14
`
`Page 14 of 22
`
`Page 14 of 22
`
`

`
`"
`
`0
`
`U.S. Expr Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`titanium nitride as a diffusion barrier layer, and the use of chrome as the upper metal layer of the
`
`interface layer (Col. 3, lines 53 - 60). There is no suggestion of the use of tantalum nitride as the
`
`
`diffusion barrier in combination with an overlying wetting layer of tantalum.
`
`With regard to deposition of an overlying copper layer, there is no suggestion that a copper
`
`layer having a high <111> crystal orientation be deposited over the interface layer. There is no
`
`mention or even a suggestion of using a high <1 1 1> crystal orientatio ._in.the.copper—layer.to.reduQe__.
`
`electromigration of the copper. Instead, the emphasis is in creating a copper-titanium intermetallic
`
`la p;Er layer to the underlying titanium nitride layer (Col. 5, lines
`
`14 - 43.) In order to create the copper-titanium intermetallic layer, Gelatos et al. uses an annealing
`
`step. The annealing step is carried out at reduced pressure and at a temperature of about 500 °C -
`
`600 °C (Col. 5, lines 26 - 28). In the alternative, Gelatos teaches that armealing may be carried out
`
`at a lower temperature of about 400°C to about 500°C in the presence of a forming gas (NZHZ)
`
`ambient. Applicants do not need to form a copper-tantalum intermetallic layer, and no annealing
`
`step is required after copper deposition, unless a copper seed layer is used and armealing of the
`
`combination of seed layer with subsequent copper deposition is necessary. Further, applicants have
`I‘-
` _js.._..
`limited amended Claim 8 to require that the maximum temperature of the copper either during
`m___________._...———-—-———___.__,_.,__________________
`_________,_....1.‘~.
`
`deposition or during subsequent processing is less than 500 °C. Applicants previously explained
`
`that 1t1s important to maintain the co per at a temperat‘1‘1r”é"’6f‘500 °C or less, preferably 300 °C or
`
`less, since the crystal orientation of the copper is sensitive to temperature. (Specification, Page 6,
`
`lines 14 - 17 and limitations in originally filed Claims 21 - 24 and 26). An annealing step,above 500 &
`
` ’
`°C as recQmmended,by Gelatos et al. would adversely affect the <1l1> content of the deposited
`
`copper layer; and therefore, Gelatos et al. teaches away from the present invention.
`
`Landers et al. discloses a chemical mechanical planarization method for selectively removing
`
`a layer of metallization material such as tungsten or copper and a liner film such as Ti/TiN or
`
`Ta/TaN from the surface of an oxide layer of a semiconductor wafer. At Col. 1, lines 38 - 43, the
`
`15
`
`Page 15 of 22
`
`Page 15 of 22
`
`

`
`.
`
`I
`
`U.S. Exp "s Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`"Background Art" section of the Landers et al. patent states: "A thin liner film, generally not more
`
`than approximately 1,000 Angstroms thick is then deposited over the oxide layer. The liner
`
`generally comprises thin films oftitanium (Ti)) and titanium nitride (TiN) disposed over one another
`
`to form a Ti/TiN stack, or tantalum (Ta) and tantalum nitride (TaN) to form a lab} stack." This
`
`language indicates that Ti is deposited over the oxide layer, and TiN is deposited over the Ti layer;
`
`and, that Ta is deposited over the oxide layer, and TaN is deposited over the Ta layer. This
`
`corresponds with the Gelatos et al. disclosure which teaches at Col. 3, lines 40 - 50: "In a preferred
`
`embodiment, a first titanium layer 16 overlies the surface ofdielectric layer 12, and a titanium nitride
`
`layer 18 overlies first titanium layer 16.
`
`.
`
`.
`
`. Specifically, titanium nitride layer 18 provides a
`
`diffusion barrier preventing the transport of copper into first titanium layer 16 and the underlying
`
`_j__X.__-
`dielectric and device layers". By contrast, the present invention is a method for making a TaN /Ta
`
`barrier layer. The order of deposition of the tantalum nitride and tantalum is a critical feature of the
` r. =—.ur...;~,. .v(re¢wI -...._...-uc-.v..x—....»..-mu.‘ -.v. :. : ._.. ;g)u
`
`method of the present invention. As applicants explained in their Summary of the Invention at
`
`\ _,._
`_ __
`....—..—a_.
`
`Page 5,lli’nesl2 - 198, although TaN, is a better barrier layer for copper thanTa,
`
`\
`
`directly over TaNx does not exhibit a sufficiently high degree of Cu <111> crystal orientation to
` per electromigration characteristics.
`
`Combining the disclosure of Gelatos et al. with the disclosure of Landers et al. does not render
`
`obvious the present invention. In fact, this combination ofreferences teaches away from the present
`
`invention. For the reasons set forth above, applicants respectfully request withdrawal ofthe rejection
`
`of Claims 8 - 17 under 35 USC § 103(a), over Gelatos et al., in combination with Landers et al.
`
`Claims 8 - 17 and 21 - 26 are rejected under 35 USC § l03(a) as being unpatentable over U.S.
`
`Patent No. 4,985,750, to Hoshino, in view of Landers et al.
`
`With regard to the disclosure of Hoshino, the Examiner states the following: "Hoshino teaches
`
`a method ofproducing barrier layer for the subsequent deposition of an overlaying conductive layer.
`
`16
`
`Page 16 of 22
`
`Page 16 of 22
`
`

`
`.
`
`U.S. Exp
`
`s Mail No. EL372083921US
`rney Docket No.: AM-1776
`
`In reference to Figure 2, a first layer [20] of the barrier layer is deposited by a traditional sputtering
`
`method followed by the deposition of a second layer [22], the second la er bein a Ta la er having
`
`a thickness in the range of 500 to 3000 A. A conductive layer of copper [24] is then deposited over
`
`the barrier layer (Col. 3 lines 28-66)."
`
`It is readily apparent that there is a discrepancy in this
`
`description, because if a Ta layer is deposited over the barrier layer, then the copper layer
`
`subsequently deposited will not be deposited upon the barrier layer. Upon review of Col. 3, lines
`
`28 - 41, of the Hoshino patent, applicants found the following language: "A metallic layer 20 is
`
`deposited on the insulating film 18 and on the top ofthe n+-diffused layer 16a in the Si substrate 16
`
`through the contact hole 18a. The metallic layer 20 may be made of Ti, Al or platinum (PT), for
`example. . .The metal

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket