throbber
Comparative study of tantalum and tantalum nitrides (Ta2N and TaN) as a diffusion
`barrier for Cu metallization
`Kyung‐Hoon Min, Kyu‐Chang Chun, and Ki‐Bum Kim
`
`Citation: 14, 3263 (1996); doi: 10.1116/1.588818
`View online: http://dx.doi.org/10.1116/1.588818
`View Table of Contents: http://avs.scitation.org/toc/jvn/14/5
`Published by the American Institute of Physics
`
`Page 1 of 8
`
`IP Bridge Exhibit 2042
`TSMC v. IP Bridge
`IPR2016-01264
`
`

`

`Comparative study of tantalum and tantalum nitrides (Ta2N and TaN)
`as a diffusion barrier for Cu metallization*
`Kyung-Hoon Min, Kyu-Chang Chun, and Ki-Bum Kim
`Department of Metallurgical Engineering, Inter-university Semiconductor Research Center, Seoul National
`University, Seoul, Korea
`共Received 7 November 1995; accepted 13 July 1996兲
`Tantalum 共Ta兲 and tantalum nitride films 共Ta2N and TaN兲 of about 50 nm thickness were reactively
`sputter deposited onto 共100兲 Si substrate by using dc magnetron sputtering and their diffusion barrier
`properties in between Cu and Si were investigated by using sheet resistance measurement, x-ray
`diffraction, Auger electron spectroscopy, and Secco etching. With increasing amounts of nitrogen in
`the sputtering gas, the phases in the as-deposited film have been identified as a mixture of ␤-Ta and
`bcc-Ta, bcc-Ta, amorphous Ta2N, and crystalline fcc-TaN. Diffusion barrier tests indicate that there
`are two competing mechanisms for the barrier failure; one is the migration of Cu into the Si
`substrate and another is the interfacial reaction between the barrier layer and the Si substrate. For
`instance, we identified that elemental Ta barrier failure occurs initially by the diffusion of Cu into
`the Si substrate through the barrier layer at 500 °C. On the other hand, the Ta2N barrier fails at
`700 °C by the interfacial reaction between Ta2N and Si substrate instead of the migration of Cu into
`the Si substrate. For the case of TaN, the barrier failure occurs by the migration of Cu into the Si
`substrate at 750 °C. It is also demonstrated that the diffusion barrier property is enhanced as the
`nitrogen concentration in the film is increased. © 1996 American Vacuum Society.
`
`I. INTRODUCTION
`
`Copper has drawn much attention as a new interconnect
`material for deep submicron integrated circuits 共ICs兲 as a
`replacement for Al and its alloys. The major motivation for
`this replacement is due to the lower resistivity and superior
`electromigration and stress migration resistance of Cu as
`compared to Al and its alloys.1,2 However, in order to suc-
`cessfully integrate Cu metallization into ICs, some problems
`associated with the transition to Cu such as lack of an aniso-
`tropic etching, oxidation, corrosion, and poor adhesion to
`most of the dielectric layers should be resolved. In particular,
`the diffusion of Cu into either Si and SiO2 layers should be
`retarded by employing a suitable diffusion barrier layer.3,4
`Indeed, there has been a considerable effort to identify a
`suitable diffusion barrier layer for Cu metallization. Materi-
`als investigated include Ta,5–8 W,9 TiW,10 TiSi2,11 TiN,12,13
`Ta2N,5 W2N,14 Ni0.6Nb0.4,15 and amorphous Ta–Si–N.8 The
`results of these efforts has been well summarized recently by
`Wang.16 Among these materials, tantalum has been exten-
`sively investigated as a diffusion barrier for Cu since it not
`only shows relatively high melting temperature but is also
`known to be thermodynamically stable with respect to Cu.17
`For instance, Holloway et al.5 and Catania et al.6 investi-
`gated the barrier properties of sputter deposited 50-nm-thick
`Ta layer and identified that the layer was stable up to 550
`and 650 °C, respectively. In contrast, Chang7 observed inter-
`mixing of Cu and Si through the Ta barrier layer even at
`300 °C by Rutherford backscattering spectroscopy 共RBS兲. In
`addition, Kolawa et al.8 identified that the junctions covered
`with a 180-nm-thick Ta barrier layer and Cu failed after an-
`nealing at 500 °C for 30 min. Thus, it appears that there still
`is a controversy about the barrier failure temperature of Ta.
`
`*Published without author corrections
`
`In particular, we note that the barrier failure temperature is
`quite different depending on the method to identify the bar-
`rier failure. In addition, we note that there are only few re-
`ports describing the barrier properties of tantalum nitrides
`such as Ta2N and TaN. Holloway et al.5 reported that 50-
`nm-thick Ta2N was stable up to 650 °C, thus indicating that
`the barrier property was improved by about 100 °C com-
`pared to that of pure Ta film. However, as far as we are
`aware of, the effectiveness of TaN as a diffusion barrier be-
`tween Cu and Si has not been reported so far.
`In this article, we would like to systematically investigate
`the diffusion barrier properties of Ta and its nitrides, both
`Ta2N and TaN, for Cu metallization and identify the barrier
`failure mechanism in each cases. In order to do this, we first
`reactively sputter deposited the films at various N2/Ar ratios
`and identified the phases and microstructure of the as-
`deposited film. Then, the barrier properties of the Ta, Ta2N,
`and TaN films were tested.
`
`II. EXPERIMENTS
`Tantalum and tantalum nitride films of about 50 nm thick-
`ness were deposited onto 共100兲 Si substrates by using dc
`magnetron sputtering at various N2/Ar gas ratios. Si wafers
`were cleaned in 10:1 diluted HF solution and rinsed in deion-
`ized water before loading into the chamber. During deposi-
`tion, the operating pressure was maintained at 10 mTorr and
`the substrates were water cooled. The phases and microstruc-
`tures of the as-deposited films were investigated by x-ray
`diffractometry 共XRD兲 and plan-view transmission electron
`microscopy 共plan-view TEM兲 operated at 200 kV and the
`nitrogen content of the as-deposited films was obtained by
`using RBS and Auger electron spectroscopy 共AES兲.
`In order to identify the barrier properties, 300-nm-thick
`Cu layer was deposited on top of the barrier layer without
`
`3263
`
`J. Vac. Sci. Technol. B 14(5), Sep/Oct 1996
`
`0734-211X/96/14(5)/3263/7/$10.00
`
`©1996 American Vacuum Society
`
`3263
`
`Page 2 of 8
`
`

`

`3264
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3264
`
`FIG. 1. The electrical resistivity and the nitrogen content of the films depos-
`ited at various N2/Ar gas ratios.
`
`FIG. 2. XRD patterns of the as-deposited Ta–N films deposited at various
`N2/Ar flow ratios. The numbers inside of the parentheses indicated the ni-
`trogen content in the film measured by RBS.
`
`breaking the vacuum and the samples were annealed for one
`hour at the temperatures ranging from 400 to 750 °C in hy-
`drogen ambient. Sheet resistance of the samples were mea-
`sured both before and after annealing by four-point probe to
`survey the overall reaction involving Cu. XRD and AES
`were used for the analysis of reaction product phases and the
`interdiffusion of the elements across the interface, respec-
`tively. Finally, Secco etching of the substrates was per-
`formed to identify the Cu penetration into the Si substrate
`after removing both Cu and barrier layers by wet-chemical
`solution.18,19 The wet-chemicals used in this experiment are
`HNO3:H2O⫽1:20 for Cu, HF:H2O⫽1:10 for Ta, and
`H2SO4:HF⫽9:1 for both Ta2N and TaN. The Si substrates
`were then Secco etched and examined by using optical mi-
`croscopy.
`
`III. EXPERIMENTAL RESULTS AND DISCUSSION
`
`A. The microstructure and phases of the as-
`deposited films
`
`Both electrical resistivity and nitrogen content of the films
`deposited at various N2/Ar gas ratios are shown in Fig. 1. It
`shows that the nitrogen content in the film is gradually in-
`creased as the partial flow of nitrogen in the sputtering gas is
`increased. The resistivity of the as-deposited film, however,
`shows several interesting features. It first shows that the elec-
`trical resistivity of the pure Ta film is about 150 ␮⍀ cm and
`is initially decreased to about 80 ␮⍀ cm as small amount of
`nitrogen is added to the sputtering gas. Then, the value of the
`resistivity gradually increased up to about 220 ␮⍀ cm as the
`nitrogen content in the film is increased to about 24 at. %. In
`between the nitrogen content of about 24 to 48 at. %, the
`resistivity of the film is only slightly increased from about
`220 to about 260 ␮⍀ cm. Finally, when further nitrogen is
`incorporated, the resistivity of the film drastically increased.
`The oxygen concentrations of pure Ta film, 24 at. % N con-
`tained film, and 48 at. % N contained film are almost the
`same. All the films contain about 1–2 at. % oxygen.
`In order to clearly understand the relationship between the
`resistivity and the nitrogen content in the film, both the
`
`J. Vac. Sci. Technol. B, Vol. 14, No. 5, Sep/Oct 1996
`
`phase共s兲 and the microstructure of the films have been inves-
`tigated by using XRD and plan-view TEM. First, Fig. 2
`shows the XRD patterns of Ta–N films containing various
`amount of nitrogen. The XRD peaks of the pure Ta film can
`be indexed as 共002兲 and 共004兲 of ␤-Ta except the one ap-
`pearing at 38°, which can also be indexed as 共202兲 of ␤-Ta or
`共110兲 of bcc-Ta. Thus, it is not clear from XRD whether the
`film only contains ␤-Ta or is a mixture of bcc-Ta and ␤-Ta.
`The XRD pattern of Ta共N兲 film deposited with 3% partial N2
`shows only one peak at 38°, which can be ascribed to either
`共110兲 of bcc-Ta or 共202兲 of ␤-Ta. These results indicate that
`the addition of a small amount of N2 in the sputtering gas
`either induce a phase transformation from ␤-Ta to bcc-Ta or
`induce the texture of the ␤-Ta film to change. For the films
`with higher nitrogen content, it is difficult to identify the
`phase共s兲 of the film by using XRD since only one or two
`weak and broad peaks appear.
`To further determine the phase共s兲 in the as-deposited
`films, the films were analyzed using plan-view TEM. Figure
`3 shows a series of bright field images and selected area
`diffraction 共SAD兲 patterns of the as-deposited films with dif-
`ferent amounts of nitrogen contents in the film. First, the
`bright field image and SAD of the pure Ta film clearly show
`that the film is composed of a mixture of ␤-Ta and bcc-Ta,
`with a grain size of about 20 to 30 nm 关Fig. 3共a兲兴. Thus, from
`the results of XRD and TEM, we can conclude that the pure
`Ta film consists of a mixture of ␤-Ta and bcc-Ta. Moreover,
`the ␤-Ta phase in the film is observed to form a strong 共002兲
`texture, while the bcc-Ta phase in the film forms a 共110兲
`texture. Figure 3共b兲 shows the bright field image and SAD
`pattern of the films deposited with 3% of N2 in the sputtering
`gas. The SAD pattern of this sample clearly shows that the
`film only contains bcc-Ta and the bright field image shows
`that the grain size of this film is similar to that of pure Ta
`film. Figure 3共c兲 shows both the TEM image and SAD pat-
`tern of the Ta–N film with 33 at. % of nitrogen in the film
`which shows that the film forms an amorphous phase. Fi-
`nally, Fig. 3共d兲 is the bright field image and SAD of the TaN
`film showing the formation of a crystalline fcc phase with
`
`Page 3 of 8
`
`

`

`3265
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3265
`
`FIG. 3. Plan-view TEM micrographs and selected area diffraction patterns of the as-deposited films: 共a兲 pure-Ta; 共b兲 Ta共N兲; 共c兲 Ta2N; and 共d兲 TaN.
`
`turn our attention to the diffusion barrier properties of each
`of these films. Figure 4 shows the variation of the sheet
`resistance of the samples upon annealing. The data mainly
`show changes in the thickness or resistivity of the unreacted
`Cu layer, since the sheet resistance of the barrier layer and
`reaction products are expected to be much larger than that of
`Cu. We first note that the sheet resistance of the film stack
`initially drops by annealing which apparently is caused by a
`decrease in defect density and grain growth in the Cu film.
`The sheet resistance of the Cu/Ta/Si film increased slightly
`
`grains having sizes of a few nm. Interplanar spacings derived
`from the SAD pattern agree with those of TaN.
`If one reviews the results of resistivity and phase identi-
`fication, the initial decrease of the resistivity from about 150
`␮⍀ cm to about 80 ␮⍀ cm with small N2 addition can be
`ascribed to the phase transformation from ␤-Ta to bcc-Ta.
`Indeed, it has been well known the typical reported resistiv-
`ities of ␤-Ta are about 180 ␮⍀ cm, and for bcc-Ta about 40
`␮⍀ cm.20,21 Although it is not clear yet why this phase trans-
`formation occurs by the addition of small amounts of nitro-
`gen in the sputtering gas, similar behavior has been reported
`by others.18,20–25 It is also interesting to note that an amor-
`phous phase is formed at about 33 at. % nitrogen content
`关Fig. 3共c兲兴. Reid et al.26 reported the formation of a mixture
`of amorphous and crystalline Ta2N phase close to this com-
`position. In contrast, Holloway et al.5 reported the formation
`of a crystalline Ta2N phase. The formation of a mixture of
`amorphous and crystalline Ta2N phase is also observed in
`our case. Therefore, at somewhat smaller concentration of
`nitrogen, it appears that the formation of amorphous, crystal-
`line, or mixtures of amorphous and crystalline Ta2N phase is
`all possible. It is believed that small variations of N content
`or the sputtering parameters can explain the different obser-
`vations.
`
`B. Barrier properties
`
`Having discussed the evolution of microstructure and
`phase共s兲 of the film by varying the nitrogen content, we now
`
`FIG. 4. Sheet resistance variation of the Cu/Ta/Si, Cu/amorphous Ta2N/Si,
`and Cu/TaN/Si samples as a function of annealing temperature.
`
`JVST B - Microelectronics and Nanometer Structures
`
`Page 4 of 8
`
`

`

`3266
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3266
`
`FIG. 5. XRD patterns of the Cu/Ta/Si sample after annealing at various
`temperatures: 共a兲 as-deposited; 共b兲 600 °C; and 共c兲 650 °C.
`
`FIG. 7. XRD patterns of the Cu/crystalline fcc-TaN/Si sample as a function
`of annealing temperature: 共a兲 as-deposited; 共b兲 650 °C; and 共c兲 750 °C.
`
`controversy on the role of Cu in crystallization process of
`some amorphous films. For instance, Reid et al.26 suggested
`that Cu reduces the crystallization temperature of some
`amorphous films. However, Thomas et al.28 reported that a
`
`upon annealing at 600 °C. However, after annealing at
`650 °C, the color of the sample is observed to change from
`Cu color to gray, and the sheet resistance of the sample can
`be observed to drastically increase, which indicates that a
`significant reaction has now occurred in between the layers.
`A similar behavior occurs at 700 °C for Cu/Ta2N/Si samples
`while no such behavior occurs for the Cu/TaN/Si samples
`even after annealing at 750 °C.
`Figure 5 shows the XRD results of the Cu/Ta/Si sample
`after annealing. It clearly shows the formation of Cu3Si at
`600 °C and the formation of Cu3Si and TaSi2 at 650 °C.
`These results are similar to those of Holloway et al.5 who
`also identified the abrupt increase of the sheet resistance with
`the formation of Cu3Si and TaSi2. XRD results of
`Cu/Ta2N/Si samples 共Fig. 6兲 show that the crystallization of
`amorphous Ta2N film occurs at about 500 °C, and the forma-
`tion of Cu3Si and TaSi2 after annealing at 700 and 750 °C,
`respectively. The crystallization temperature of amorphous
`Ta2N reported here 共500 °C兲 appears to be a little bit lower
`than that of Sun et al.,27 who reported that a mixture of
`amorphous and crystalline Ta2N film crystallized after an-
`nealing at 600 °C for 65 min. It appears that there is still a
`
`FIG. 6. XRD patterns of the Cu/amorphous Ta2N/Si sample after annealing
`at various temperatures: 共a兲 as-deposited; 共b兲 500 °C; 共c兲 700 °C; and 共d兲
`750 °C.
`
`FIG. 8. AES depth profiles of the Cu/Ta/Si samples: 共a兲 as-deposited; 共b兲
`600 °C, 1 h annealing; and 共c兲 650 °C, 1 h annealing.
`
`J. Vac. Sci. Technol. B, Vol. 14, No. 5, Sep/Oct 1996
`
`Page 5 of 8
`
`

`

`3267
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3267
`
`FIG. 9. AES depth profiles of the Cu/amorphous Ta2N/Si samples: 共a兲 as-
`deposited; 共b兲 700, 1 h annealing; and 共c兲 750 °C, 1 h annealing.
`
`FIG. 10. AES depth profiles of the Cu/TaN/Si samples: 共a兲 as-deposited; 共b兲
`650 °C, 1 h annealing; and 共c兲 750 °C, 1 h annealing.
`
`Cu overlayer had little effect on reducing the crystallization
`temperature of amorphous W–Si film, while an Al overlayer
`significantly reduces the crystallization temperature. In order
`to check the effect of Cu on the crystallization temperature of
`amorphous Ta2N phase, a Ta2N film without Cu overlayer
`has been annealed. It is observed that the crystallization of
`amorphous Ta2N occurs at the same temperature, irrespec-
`tive of the presence of a Cu layer. Finally, Fig. 7 shows the
`XRD results of the Cu/TaN/Si film which shows no indica-
`tion of reaction even after annealing at 750 °C.
`Additional results have been obtained in the AES depth
`profiling. In Fig. 8, we note that Cu diffuses deep into the Si
`substrate after annealing at 650 °C. It also shows that exten-
`sive intermixing of Ta and Si has occurred at the surface.
`Figure 9 shows the AES depth profiles of the Cu/amorphous
`Ta2N/Si sample. The barrier failure mode is different com-
`pared to that of the pure Ta 共Fig. 8兲. Figure 9 shows that Cu
`still remain at the surface even though the Ta and Si signal
`significantly intermixed after annealing at 750 °C. This result
`clearly demonstrates that diffusion of Cu into the Si substrate
`
`is significantly slower in the case of a Ta2N layer than it is in
`the case of a Ta. Finally, Fig. 10 shows a series of AES
`depth profiles of the Cu/TaN/Si diffusion couple. As is ex-
`pected from the XRD results, no significant intermixing oc-
`curs even after annealing at 750 °C. The evaluation of barrier
`properties using AES depth profile has two shortcomings in
`our case. One is that the profiles of as-deposited films do not
`show abrupt slope at the interface due to nonuniform etching
`of film during AES depth profile. The other is roughness of
`annealed Cu film surface caused by grain growth during heat
`treatment, which makes the profiles of annealed samples
`worse than that of as-deposited one.
`The Si surface of annealed samples was also examined by
`using optical microscopy after Secco etching 共Fig. 11兲. In
`case of Cu/Ta/Si sample, we first observed the formation of
`etch pits on samples annealed at 500 °C. Both the size and
`the density of etch pits increase with the annealing tempera-
`ture as is shown in Fig. 12. Using cross-sectional TEM, Park
`and Kim13 noted that the initial failure of the Cu/TiN/Si
`sample occurs by the diffusion of Cu into the Si substrate
`
`JVST B - Microelectronics and Nanometer Structures
`
`Page 6 of 8
`
`

`

`3268
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3268
`
`FIG. 11. A series of optical micrographs showing the surface of Si after
`Secco etching: 共a兲 450 °C, 1 h annealing; 共b兲 500 °C, 1 h annealing; 共c兲
`550 °C, 1 h annealing; and 共d兲 600 °C, 1 h annealing.
`
`which resulted in the formation of the crystalline defects
`共dislocations兲 decorated with small size of Cu3Si precipi-
`tates. From the previous work, it is concluded that the for-
`mation of etch pits by Secco etching is related to the forma-
`tion of these defects in the Si substrate. It should be noted
`that the formation of reaction product phase共s兲 is first iden-
`tified by XRD at 600 °C while the formation of etch pits is
`first observed at 500 °C. This result indicates that the etch pit
`observation is a more sensitive technique to identify barrier
`failure
`temperatures
`than either XRD or AES. On
`Cu/Ta2N/Si samples, the formation of etch pits is not ob-
`served even after annealing at 650 °C. For samples annealed
`at temperature higher than 650 °C, the formation of etch pits
`is not observed since a significant reaction now has occurred
`at the Ta2N/Si interface 共as is shown from the results of XRD
`and AES兲. From these results, we can conclude that the Ta2N
`barrier is good enough to protect the migration of Cu into the
`Si substrate at least up to 650 °C. The barrier failure, in this
`
`FIG. 13. Optical micrographs of the Si surface after Secco etching: 共a兲
`Cu/Ta2N/Si sample after annealing at 650 °C and 共b兲 Cu/TaN/Si sample
`after annealing at 750 °C.
`
`case, occurs by the interfacial reactions between Ta2N and
`Si. The Cu/TaN/Si sample demonstrates a clean surface even
`after annealing at 700 °C. Etch pits are first observed in the
`samples annealed at 750 °C as is shown in Fig. 13. The in-
`terface between TaN and Si is quite stable even up to 800 °C.
`Due to the strong thermal stability of this interface, the ulti-
`mate failure of the diffusion barrier occurs by the migration
`of Cu into the Si substrate.
`Our results thus indicate that the barrier failure of the
`tantalum and its nitrides occurs by two different mecha-
`nisms. One is the diffusion of Cu into the Si substrate
`through the barrier layer which resulted in the formation of
`crystalline defects and Cu3Si precipitates in the Si substrates.
`This is the predominant failure mechanism for Cu/Ta/Si and
`Cu/TaN/Si samples. The other mechanism is by the chemical
`reactions between the barrier layer and the Si substrate as is
`demonstrated in the Cu/Ta2N/Si samples. According to the
`Cu–Ta–N ternary phase diagram shown in Fig. 14共a兲, Cu is
`to Ta, Ta2N, and
`thermodynamically stable with respect
`TaN. However, the ternary phase diagram drawn from the
`Gibbs free energy data at 900 °K 关Fig. 14共b兲兴 shows that
`both tantalum and its nitrides 共Ta2N and TaN兲 are thermody-
`namically not stable with respect to Si. However, our results
`suggest that the interfacial reaction between TaN and Si oc-
`curs at much higher temperature than that of between Ta2N
`and Si. For this reason, the barrier failure of Ta2N occurs by
`the interfacial reaction while the barrier failure of TaN oc-
`curs by the diffusion of Cu through the barrier layer.
`
`FIG. 12. Etch pit density of the Cu/Ta/Si sample as a function of annealing
`temperature.
`
`FIG. 14. Isothermal section of a ternary phase diagram of 共a兲 Cu–Ta–N and
`共b兲 Ta–N–Si systems drawn at 900 K.
`
`J. Vac. Sci. Technol. B, Vol. 14, No. 5, Sep/Oct 1996
`
`Page 7 of 8
`
`

`

`3269
`
`Min, Chun, and Kim: Tantalum and tantalum nitrides
`
`3269
`
`IV. CONCLUSION
`
`We have investigated the evolution of the microstructure
`and phase共s兲 of the Ta–N systems by varying the nitrogen
`content in the film. It is identified that the as-deposited pure
`Ta film forms a mixture of ␤-Ta and bcc-Ta with a grain size
`of about 20 to 30 nm. When a small amount of nitrogen is
`incorporated in the sputtering gas, the phase changes from a
`mixture of ␤-Ta and bcc-Ta to pure bcc-Ta without a change
`in grain size. It is also identified that both amorphous and a
`mixture of amorphous and crystalline Ta2N phase can be
`formed when the nitrogen content in the film is around 33
`at. % and the crystalline fcc-TaN phase is formed when the
`nitrogen content in the film is from about 40 to 48 at. %.
`By using sheet resistance measurements, XRD, AES
`depth profiles, and etch pit observations after Secco etching,
`it is identified that the diffusion barrier property is signifi-
`cantly enhanced as the nitrogen content in the film is in-
`creased. Importantly, we note that there are two different
`mechanisms of barrier failure; one is by the migration of Cu
`into the Si substrate by diffusion through the barrier layer
`and the other is the interfacial reactions between the barrier
`layer and the Si substrate. Ta apparently fails initially by the
`diffusion of Cu into the Si substrate at 500 °C and by the
`formation of CuSi3 and TaSi2 at higher temperatures. On the
`other hand, for the case of Ta2N film, the barrier failure
`occurs at around 700 °C by the chemical reaction between
`Ta2N and Si. Finally, the TaN film, deposited as an fcc crys-
`talline phase, is identified as a stable barrier up to 700 °C and
`the barrier failure occurs by the migration of Cu into the Si
`substrate.
`
`ACKNOWLEDGMENTS
`
`This research was funded in part by the Ministry of Edu-
`cation through the Inter-University Semiconductor Research
`Center at Seoul National University 共IRS-94-E-1017兲 and in
`part by the Ministry of Science and Technology of Korea
`
`through Electrical Telecommunication Research Institute
`共ETRI兲. The authors are also grateful to Dr. Moshe Eizen-
`berg and Dr. Ivo Raaijmakers at Applied Materials, Inc. for
`the critical review of this manuscript.
`
`1T. Nitta, T. Ohmi, T. Hoshi, S. Sakai, K. Sakaibara, S. Imai, and T.
`Shibata, J. Electrochem. Soc. 140, 1131 共1993兲.
`2J. Tao and N. W. Cheung, IEEE Electron Device Lett. 14, 249 共1993兲.
`3M. O. Abelfotoh and B. G. Stevensson, Phy. Rev. 44, 12 742 共1991兲.
`4A. Broniauowski, Phys. Rev. Lett. 62, 3074 共1989兲.
`5K. Holloway, P. M. Fryer, C. Cabral, Jr., J. M. E. Harper, and P. J.
`Bailey, J. Appl. Phys. 71, 5433 共1992兲.
`6P. Catania, J. P. Doyle, and J. J. Cuomo, J. Vac. Sci. Technol. A 10, 3318
`共1992兲.
`7C. A. Chang, J. Appl. Phys. 67, 7348 共1990兲.
`8E. Kolawa, J. S. Chen, J. S. Reid, P. J. Pokela, and M.-A. Nicolet, J.
`Appl. Phys. 70, 1369 共1991兲.
`9C. A. Chang, J. Appl. Phys. 67, 6184 共1990兲.
`10S.-Q. Wang, S. Suthar, C. Hoeflich, and B. J. Burrow, J. Appl. Phys. 73,
`2301 共1993兲.
`11J. O. Olowolafe, J. Li, and J. W. Mayer, J. Appl. Phys. 68, 6207 共1990兲.
`12S.-Q. Wang, I. J. M. M. Raaijmakers, B. J. Burrow, S. Suthar, S. Redkar,
`and K. B. Kim, J. Appl. Phys. 68, 5176 共1990兲.
`13K. C. Park and K. B. Kim, J. Electrochem. Soc. 共in press兲.
`14A. Charai, H. E. Hornstrom, O. Thomas, P. M. Fryer, and J. M. E. Harper,
`J. Vac. Sci. Technol. A 7, 784 共1989兲.
`15R. E. Thomas, K. J. Guo, D. B. Aaron, E. A. Dobisz, J. H. Perepezko, and
`J. D. Wiley, Thin Solid Films 150, 245 共1987兲.
`16S.-Q. Wang, MRS Bull. Aug. 共1994兲.
`17Binary Phase Diagram, edited by T. B. Massalski 共The Materials Infor-
`mation Society, Materials Park, 1990兲.
`18B. Mehrotra and J. Stimmell, J. Vac. Sci. Technol. B 5, 1736 共1987兲.
`19S. Wolf and R. N. Tauber, Silicon Processing for the VLSI Era 共Lattice
`Press, CA, 1987兲, Vol. 1, p. 533.
`20P. Catania, R. A. Roy, and J. J. Cuomo, J. Appl. Phys. 74, 1008 共1993兲.
`21L. A. Clevenger, A. Mutscheller, J. M. E. Harper, C. Cabral, Jr., and K.
`Barmak, J. Appl. Phys. 72, 4918 共1992兲.
`22P. N. Baker, Thin Solid Films 14, 3 共1972兲.
`23L. G. Feinstein and F. C. Livermore, Thin Solid Films 16, 129 共1973兲.
`24A. Noya, K. Sasaki, and M. Takeyama, Jpn. J. Appl. Phys. 32, 911
`共1993兲.
`25M. H. Rottersman and M. J. Bill, Thin Solid Films 61, 281 共1979兲.
`26J. S. Reid, E. Kolawa, R. P. Ruiz, and M.-A. Nicolet, Thin Solid Films
`236, 319 共1993兲.
`27X. Sun, E. Kolawa, J. S. Chen, J. S. Reid, and M.-A. Nicolet, Thin Solid
`Films 236, 347 共1993兲.
`28R. E. Thomas, J. H. Perepezko, and J. D. Wiley, Appl. Surf. Sci. 26, 534
`共1986兲.
`29I. Barin, Thermochemical Data of Pure Substances 共VCH, New York,
`1989兲.
`
`JVST B - Microelectronics and Nanometer Structures
`
`Page 8 of 8
`
`

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket