throbber
G746
`
`Journal of The Electrochemical Society, 151 ~11! G746-G750 ~2004!
`0013-4651/2004/151~11!/G746/5/$7.00 © The Electrochemical Society, Inc.
`Failure Mechanism of Amorphous and Crystalline Ta-N Films
`in the Cu(cid:213)Ta-N(cid:213)Ta(cid:213)SiO2 Structure
`
`Ching-Chun Chang, J. S. Chen,a,*,z and Wu-Shiung Hsub
`aDepartment of Materials Science and Engineering, National Cheng Kung University, Tainan, Taiwan
`bNuclear Science Technology Development Center, National Tsing Hua University, Hsinchu, Taiwan
`
`The diffusion barrier properties of as-deposited amorphous TaNx (x ’ 0.5) and crystalline TaN between Cu and SiO2 have been
`investigated in Cu/Ta-N/Ta/SiO2 structures. The thermal reactions of Cu/TaNx /Ta/SiO2 and Cu/TaN/Ta/SiO2 after annealing in
`vacuum at 500 to 900°C were investigated by using sheet resistance measurements, glancing incident angle X-ray diffraction,
`scanning electron microscopy, energy-dispersive X-ray spectrometry, and Rutherford backscattering spectrometry. No significant
`reaction and change of sheet resistance were detected for both systems after annealing up to 800°C. As compared to TaN, TaNx
`exhibited better electrical properties and capability for preventing Cu diffusing through it. However, the sheet resistance of both
`systems increased abruptly after annealing at 900°C, especially the TaNx system. The severe increase in sheet resistance corre-
`sponds to the deterioration of Cu surfaces. Broken holes were seen in the TaNx layer, which were the initial sites for the structural
`failure. The cause of failure in Cu/Ta-N/Ta/SiO2 stacks is discussed on the basis of the characteristics of Ta-N films upon
`heat-treatment.
`© 2004 The Electrochemical Society.
`
`@DOI: 10.1149/1.1803836# All rights reserved.
`
`Manuscript received June 11, 2003. Available electronically October 7, 2004.
`
`With demands for an increase in the packing density and im-
`provement in device performance, the linewidths of integrated cir-
`cuits have reduced continuously to deep submicrometer dimensions.
`In ultralarge-scale integrated ~ULSI! circuits, resistance-capacitance
`~RC! time delay and electromigration become the important issues.
`Consequently, aluminum-based metallurgy is no larger adequate for
`deep submicrometer metallization. In order to solve these problems,
`copper has been adopted as the interconnection metal because of its
`lower resistivity ~1.67 mV cm! as compared with aluminum ~2.7 mV
`cm!. Meanwhile, the resistance to electromigration of copper is
`higher, also.1-3 However, copper diffuses easily into Si and SiO2 to
`form Cu-Si compounds at quite low temperatures.4,5 This causes
`device performance to degrade seriously. To avoid copper diffusion,
`a barrier between copper and its underlying dielectric layer is essen-
`tial. For copper metallization, diffusion barriers of refractory metals
`and their nitrides have been studied extensively owing to their su-
`perior thermal stability and high conductivity, including Ti-N,6,7
`Ta-N,8-12 and W-N.13-16 Among them, tantalum and its nitride draw
`lots of attention because they possess better thermal stability and
`chemical inertness than the other transition metal nitrides when
`coming into contact with copper.
`However, tantalum nitride may be in the form of TaN or Ta2N,
`and it can be crystalline or amorphous. Due to the different deposi-
`tion conditions, the properties of tantalum nitride barriers can vary
`widely.11,17-19 In the literature, most studies related with barrier per-
`formance concern only one tantalum nitride film of one specific
`composition and structure. In the present study, we deposited tanta-
`lum nitride film by reactive sputtering. Amorphous tantalum nitride
`films (TaNx , x ’ 0.5) and polycrystalline tantalum nitride films
`~TaN! were obtained by changing the sputtering ambient. In addi-
`tion, most of the literature reports concern the interactions of Cu
`films deposited on Ta-N/^Si& substrates. However, the interactions of
`Cu with the Ta-N/SiO2 /^Si& structure should be more relevant to the
`current Cu interconnect system. Therefore, we compared the reac-
`tions in the two types of Cu/Ta-N(TaNx or TaN!/Ta/SiO2/^Si& ~^Si&
`represents the single-crystal Si substrate! stacks after annealing at
`500 to 900°C.
`Sheet resistance, phases, elemental depth profiles, and surface
`morphology of the samples were examined. All these analyses could
`give us a guideline for the selection of the tantalum nitride as the
`diffusion barrier in the ULSI devices.
`
`* Electrochemical Society Active Member.
`z E-mail: jenschen@mail.ncku.edu.tw
`
`Experimental
`The substrates used in the present study were n-type ~100! Si
`wafers with resistivity of 1-10 V cm. The substrates were immersed
`in an organic bath and chemically etched with dilute HF solution
`(HF:H2O 5 1:10). Thermal SiO2 film, 280 nm in thickness, was
`grown by oxidizing Si wafers in dry oxygen at 1050°C. Ta-N films,
`50 nm in thickness, were deposited by radio frequency ~rf! sputter-
`ing from a Ta metal ~99.95% purity! target in different nitrogen-
`argon mixed ambients and applied with a negative substrate bias of
`2100 V. The films prepared with 1 and 5% of nitrogen flow ratio
`@N2 /(N2 1 Ar)# are amorphous TaNx (x ’ 0.5) and polycrystal-
`line TaN, respectively. More detailed information about the Ta-N
`films can be found in our previous work.20 Before Ta-N deposition,
`a 10 nm thick Ta layer was deposited to improve the adhesion be-
`tween Ta-N and SiO2 layer. Cu films ~180 nm! were then deposited
`on Ta-N films using dc sputtering with a Cu target ~99.99% purity!.
`The two groups of Cu/Ta-N/Ta/SiO2 /^Si& samples were then an-
`nealed side by side in vacuum (2.5 3 1025 Torr! at temperatures
`ranging from 500 to 900°C for 30 min to investigate thermal inter-
`actions. Sheet resistances of all samples, before and after annealing,
`were measured with a four-point probe. The crystalline structures of
`Cu/Ta-N/Ta/SiO2 /^Si& were characterized by using glancing inci-
`dent angle X-ray diffraction ~GIAXRD, Rigaku D/MAX2500! at an
`incident angle of 2° with Cu Ka radiation. Surface morphology of
`the films was examined by scanning electron microscopy ~SEM,
`Philips XL-40FEG!. The variations of surface compositions were
`estimated by energy dispersive X-ray spectrometry ~EDS, Philips
`EDAXDX-4!. Depth profile analysis was performed with Rutherford
`backscattering spectrometry ~RBS!. For RBS measurement,
`the
`4He1 ions were accelerated to 2 MeV and the backscattered ions
`were detected at a scattering angle of 160°.
`
`Results and Discussion
`The sheet resistances of all the samples, before and after anneal-
`ing, were characterized by a four-point probe and presented in Fig.
`1. The sheet resistance values of Cu/TaNx /Ta/SiO2 /^Si& samples
`were lower than those of Cu/TaN/Ta/SiO2 /^Si& samples upon an-
`nealing to 900°C, which might be due to the lower resistivity of
`TaNx ~;200 mV cm! than that of TaN ~;340 mV cm!, and fewer
`defects in the films before annealing at high temperature. In general,
`the measured sheet resistance was dominated by the copper film
`since the resistivity of copper is much lower than that of Ta-N film.
`Therefore, the variations of the measured sheet resistance may rep-
`resent the changes in the structure or composition of Cu film, or the
`intermixing degree of copper film with the underlayer.
`
`Downloaded 10 Sep 2008 to 140.116.208.41. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp
`
`Page 1 of 5
`
`IP Bridge Exhibit 2001
`TSMC v. IP Bridge
`IPR2016-01249
`
`

`
`Journal of The Electrochemical Society, 151 ~11! G746-G750 ~2004!
`
`G747
`
`Figure 1. Dependence of sheet resistance of Cu/Ta-N/Ta/SiO2 /^Si& samples
`on the annealing temperatures.
`
`The sheet resistance values of both systems decreased with in-
`creasing annealing temperatures until 600°C. It is mainly attributed
`to copper grain growth and the self-healing effect of defects in the
`Cu film. After annealing at 700°C or above, however, the sheet
`resistance values rise slightly as the annealing temperature in-
`creases. After annealing at 900°C,
`the sheet resistance of the
`Cu/TaNx /Ta/SiO2 /^Si&
`sample increased dramatically to 1113
`mV/h and that of the Cu/TaN/Ta/SiO2 /^Si& sample increased to
`610 mV/h. To further understand the mechanisms that made the
`differences in these two systems, several material characterizations
`were carried out as follows.
`Figure 2 presents the GIAXRD patterns of Cu/TaNx /Ta/
`SiO2 /^Si& and Cu/TaN/Ta/SiO2 /^Si& samples before and after an-
`nealing at 500-900°C. Copper diffraction peaks and a broad peak at
`2u ’ 37° are seen in the as-deposited Cu/TaNx /Ta/SiO2 /^Si&
`sample ~Fig. 2a!, indicating that the TaNx layer is amorphous. The
`crystallization temperature of amorphous TaNx has been determined
`by annealing the film at temperatures from 300 to 900°C in 100°C
`intervals. This indicates that the TaNx layer began to crystallize into
`a Ta2N phase after annealing at 500°C. In Fig. 2b, only the diffrac-
`tion peaks of TaN and Cu were observed in the as-deposited and
`500°C-annealed samples. However, we can find the Ta2N(100) ~at
`2u ’ 34°) and Ta2N(101) ~at 2u ’ 39°) diffraction peaks in the
`pattern of the 600°C annealed sample. According to the composition
`obtained by RBS analysis, the TaN film on a graphite substrate
`showed the composition of Ta48N52 . Therefore, the existing Ta2N
`phase after annealing at 600°C is attributed to the reaction of the
`thin Ta underlayer with the excess nitrogen atoms in the TaN film.
`After annealing at 900°C, the intensities of Cu diffraction peaks
`decreased in both the Cu/Ta-N/Ta/SiO2 /^Si& systems, which might
`be attributed to the diffusion of copper into the underlayer and re-
`action with the silicon to form copper silicide.
`SEM micrographs on the surfaces of both Cu/TaNx /Ta/SiO2 /
`^Si& and Cu/TaN/Ta/SiO2 /^Si& samples after annealing at 700, 800,
`and 900°C are shown in Fig. 3 and 4. The surfaces of Cu/TaNx and
`Cu/TaN systems after annealing at 700°C simply show the mor-
`phology of Cu grains ~Fig. 3a and b!. After annealing at 800°C,
`broken holes are evident on the copper surfaces which appeared
`in the Cu/TaNx systems ~Fig. 3c!. However, there were only tiny
`voids observed in the Cu/TaN system ~Fig. 3d!. It is observed
`that Cu grains started to agglomerate after annealing at 700°C
`in this study. Moreover, holes and voids formed on the 800°C-
`annealed samples would increase the sheet
`resistance further.
`The rough surface morphology of Cu correlated well with the in-
`
`~a! Cu/TaNx /Ta/SiO2 /^Si&
`and ~b!
`Figure 2. GIAXRD patterns of
`Cu/TaN/Ta/SiO2 /^Si& samples as deposited and after annealing at 500, 600,
`700, 800, and 900°C.
`
`creases in the sheet resistance ~Fig. 1! in both Cu/TaNx and Cu/TaN
`systems.
`After annealing at 900°C, gray dots could be seen with the naked
`eye on the surface of the Cu/TaNx sample. The gray dots under SEM
`can be represented by the micrograph of Fig. 4a and the dark region
`at the center seems to be a broken area. On the other hand, the
`surface of the Cu/TaN system under SEM exhibited a circular region
`of different contrast but no broken area ~Fig. 4b!. Except for the
`gray spots,
`the surface morphology of the other areas on the
`Cu/TaNx system was basically similar to the Cu/TaN system. SEM
`analysis indicates that more severe local reaction occurred in the
`Cu/TaNx /Ta/SiO2 /^Si& stack than the Cu/TaN/Ta/SiO2 /^Si& stack
`after annealing at 900°C. Comparing the surface morphology of
`Cu/TaNx and Cu/TaN systems, we can conclude that there must be
`local defects originally existing in both TaNx and TaN films. Upon
`900°C annealing, the local defects in the TaNx film would change
`into apparent holes, but this phenomenon did not happen in the TaN
`film. The reasons for the diversity is discussed later.
`As for the circular spots on the surface of the 900°C-annealed
`Cu/TaNx system, they can be divided into three regions according to
`their distinct appearances, and labeled as region I, region II, and
`region III ~see Fig. 4a!. Figure 5 shows magnified micrographs of
`the 900°C-annealed Cu/TaNx /Ta/SiO2 /^Si& sample at region I, II,
`and III, respectively. EDS analysis was used to identify the chemical
`compositions of these regions. To reduce the inaccuracy of the com-
`positions influenced by the underlayer, the operating voltage of the
`electron beam was lowered to 10 kV so that the X-ray generation
`depth is less than 0.1 mm.
`
`Downloaded 10 Sep 2008 to 140.116.208.41. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp
`
`Page 2 of 5
`
`

`
`G748
`
`Journal of The Electrochemical Society, 151 ~11! G746-G750 ~2004!
`
`Figure 4. SEM images of the surfaces of ~a! Cu/TaNx /Ta/SiO2 /^Si& and ~b!
`Cu/TaN/Ta/SiO2 /^Si& after annealing at 900°C.
`
`respectively. Therefore, the rough surface layer is Cu and the under-
`layer is TaNx . In region III, copper layer agglomerated but still
`remained continuous in surface morphology. EDS analysis was also
`used to detect the composition distributions on the Cu/TaN sample
`surface ~Fig. 4b! and the locations detected were labeled as a, b, and
`c in Fig. 6. Based on the EDS analysis, we have determined that the
`large grains and spherical clusters ~locations labeled as a and c,
`respectively! on the surface of the Cu/TaN samples after annealing
`at high temperatures consist of Cu and O. Meanwhile, the matrix
`revealed on the surfaces of Cu/TaN samples ~labeled as b! after
`annealing should be the TaN layer.
`As regards interfacial diffusion, compositional depth profiles of
`the as-deposited and 700°C-annealed samples were investigated by
`RBS and the spectra are shown in Fig. 7. The RBS spectra of
`samples annealing at higher annealing temperatures ~800 or 900°C!
`are not shown because the surface morphology of these samples is
`not uniform ~scattered with voids or spots! so that they are not
`appropriate for RBS analysis. Figure 7 shows that there is a small Ta
`signal present on the surface of the Cu/TaNx /Ta/SiO2 /^Si& sample
`after annealing at 700°C. The rest of the profile shifts slightly to the
`left due to the surface Ta. It had been reported that Ta has a very
`high affinity to oxygen and reacts with it to form Ta2O5 .21 Conse-
`quently, some Ta atoms may penetrate through the Cu layer to the
`surface to react with the residual oxygen in the annealing ambient.
`Except that, the Cu tail is a little slanting as compared to the as-
`deposited profile. It is said that as Ta diffused out to the surface,
`some vacancies would be left behind at the interface of Cu and
`TaNx . Therefore, Cu atoms could diffuse into the TaNx layer. Dif-
`fusion of Cu into the TaNx layer is only minuscule, as shown in Fig.
`7a. Furthermore, Ta diffusing through the extended defects, such as
`grain boundaries, would decorate and block the active paths for
`
`Figure 3. SEM images of the surfaces of ~a! Cu/TaNx /Ta/SiO2 /^Si&,
`and ~b! Cu/TaN/Ta/SiO2 /^Si&
`annealing at 700°C and ~c!
`after
`Cu/TaNx /Ta/SiO2 /^Si&, and ~d! Cu/TaN/Ta/SiO2 /^Si& after annealing
`at 800°C.
`
`The EDS spectrum shows that in region I ~Fig. 5a!, the large
`grains ~labeled a! mainly consist of Cu. The major elements in the
`region labeled b are copper, silicon, and oxygen, indicating that the
`matrix in region I is the SiO2 layer. This means that the top Cu layer
`and the underlaying TaNx film had seriously deteriorated, therefore
`the SiO2 layer was revealed in region I. The EDS spectrum in region
`II ~area labeled c! consists of tantalum and oxygen ~Fig. 5b!. The
`low content of copper suggests that the grainy matrix observed in
`region II is the TaNx film, and it may be oxidized. The TaNx under-
`layer was revealed because the Cu top layer delaminated seriously
`after annealing at high temperature. At region III ~Fig. 5c!, the de-
`tected elements are Cu and Ta, for the area labeled as d and e,
`
`Downloaded 10 Sep 2008 to 140.116.208.41. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp
`
`Page 3 of 5
`
`

`
`Journal of The Electrochemical Society, 151 ~11! G746-G750 ~2004!
`
`G749
`
`Figure 6. Magnified SEM images of the surface of Cu/TaN/Ta/SiO2 /^Si&
`after annealing at 900°C.
`
`20.5 nm after annealing at 900°C. However, the crystallite size of
`the 900°C-annealed polycrystalline TaN film was 14.2 nm, which
`was almost the same as that of the as-deposited TaN film ~12.4 nm!.
`In addition, Ta2N has a melting point of 2050°C, compared with that
`of 3087°C for TaN. According to the empirical relationship, the
`activation energy of grain growth of Ta2N is projected to be signifi-
`cantly lower than its TaN counterpart. Therefore, grain growth of
`Ta2N is expected to be in evidence. According to Chaudhari’s report,
`
`Figure 5. Magnified SEM images of the surfaces of ~a! region I, ~b! region
`II, and ~c! region III in Cu/TaNx /Ta/SiO2 /^Si& after annealing at 900°C.
`
`grain boundary diffusion. It will improve the capability to inhibit Cu
`from diffusing. On the other hand, no surface Ta signal is found in
`the RBS spectrum of the 700°C-annealed Cu/TaN/Ta/SiO2 /^Si&
`sample, while the Ta profile becomes wide-spreading ~Fig. 7b!.
`Also, the copper tail becomes slanting, in a slightly higher degree
`than the previous system. The RBS profile indicates that interdiffu-
`sion occurred at the Cu/TaN and TaN/Ta/SiO2 interfaces for the
`700°C annealed Cu/TaN/Ta/SiO2 /^Si& sample.
`Crystallite sizes of TaNx and TaN films were estimated by using
`the Scherrer equation22 and presented in Table I. The crystallite sizes
`were calculated from the full-width at half maximum ~fwhm! of the
`Ta2N ~101! peak and TaN ~111! peak, respectively. The table indi-
`cates that the crystallite size of the TaNx , which underwent the
`amorphous-to-crystalline transformation,
`increased drastically to
`
`the ~a! Cu/TaNx /Ta/SiO2 /^Si&
`and ~b!
`Figure 7. RBS spectra of
`Cu/TaN/Ta/SiO2 /^Si& samples, as deposited and after annealing at 700°C.
`The arrows indicate the backscattered energies of Cu and Ta on sample
`surface.
`
`Downloaded 10 Sep 2008 to 140.116.208.41. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp
`
`Page 4 of 5
`
`

`
`G750
`
`Journal of The Electrochemical Society, 151 ~11! G746-G750 ~2004!
`
`Table I. Estimated crystallite sizes of Ta-N films.
`
`As-deposited
`
`After annealing at 900°C
`
`Ta2N
`~nm!
`fl
`20.5
`
`TaN
`~nm!
`
`12.4
`
`14.2
`
`tensile stress will be produced in film as grains grow.23 Furthermore,
`Chuang et al.24 had reported that voids would be formed on the
`surfaces of Ta or Ta-N film to relieve the surface tension. In our
`study, the crystallite size of TaNx apparently increased after anneal-
`ing. Consequently, the as-deposited amorphous TaNx film, which
`crystallized into a Ta2N phase and underwent huge grain growth,
`must be stressed severely. To relieve the additional tensile stress,
`cracks or voids were formed. On the other hand, TaN film main-
`tained similar crystallite size to the as-deposited film even after an-
`nealing at high temperature. This indicates that the TaN film sus-
`tained less tensile stress than the Ta2N film did after annealing.
`Therefore, there were no apparent cracks and voids observed on the
`TaN film.
`Now, we can infer a mechanism for the broken holes formed in
`the Cu/TaNx system. At first, there may be some local defects exist-
`ing in the thermally grown SiO2 film, which could act as fast diffu-
`sion paths for copper to penetrate and then react with the Si sub-
`strate. However,
`the amorphous TaNx diffusion barrier between
`copper and SiO2 could prevent Cu from diffusing through it effi-
`ciently. After annealing at high temperatures, amorphous TaNx film
`tended to crystallize into Ta2N phases and was stressed severely so
`that lots of grain boundaries and voids formed. Consequently, the
`copper upper layer penetrated through the grain boundaries and
`voids in the TaNx , and the defects in the SiO2 film to the Si sub-
`strate and reacted with it. The broken holes were also observed by
`Holloway et al.9 using Ta and Tsai et al.17 using TaN. The differ-
`ence between our experiments and their studies is that there is a
`SiO2 layer between the diffusion barrier and the silicon substrate
`in this study. According to Tsai et al., the gray dots might be the
`initial sites on the TaN film for copper to penetrate. In the present
`study, it indicated that the conditions for copper diffusing were not
`only the grain boundaries or defects in the barrier but also the SiO2
`underlayer.
`The morphology of Cu in region II ~Fig. 5b! was circular dots
`scattered on the TaNx matrix. According to Miller et al.,25 one of the
`important factors in determining the possibility of agglomeration is
`the ratio of the film thickness to the grain size. When the grain-size-
`to-film-thickness ratio exceeds a critical value, the breakup will
`lower the free energy of the system. Hence, copper tended to ag-
`glomerate seriously around the reaction spots because the process of
`copper penetrating through the defects in the center of the reaction
`spots leads to the copper layer becoming thinner and thinner. There-
`fore, the grain-size-to-film-thickness ratio in region II should be
`larger than it was in region III. This means that the copper layer in
`region II underwent a more serious agglomeration than region III
`and became round-shaped clusters as shown in Fig. 5b.
`On the contrary, the Cu/TaN system still keeps the microstructure
`intact owing to minor grain growth even after annealing at 900°C.
`Therefore, no broken holes were observed on the surface of
`Cu/TaN/Ta/SiO2 /^Si& samples.
`Conclusion
`The criteria to choose an appropriate diffusion barrier include not
`only low resistivity but also excellent integrity and capability for
`
`preventing copper from penetrating through it. Cu/TaNx ~amor-
`phous, x ’ 0.5)/Ta/SiO2 /^Si& samples possessed lower sheet resis-
`tances than Cu/TaN~crystalline!/Ta/SiO2 /^Si& samples until anneal-
`ing at 800°C. After annealing at 900°C, the sheet resistance value of
`Cu/TaNx /Ta/SiO2 /^Si& sample increased drastically, which was
`about twice as large as that of Cu/TaN/Ta/SiO2 /^Si&. By using SEM
`and EDS analyses, we found that the TaNx film between Cu and
`SiO2 bears an additional tensile stress because of its substantial
`grain growth, which makes voids produced in the TaNx film after
`annealing at 900°C. These defects existing in the TaNx film then
`resulted in apparent reaction spots on the surface of Cu. On the other
`hand, TaN grains did not grow apparently so that the TaN barrier can
`keep its integrity even after annealing at 900°C. However, at lower
`annealing temperatures, RBS spectra indicate that the TaNx film has
`a better ability to prevent the copper diffusion due to the amorphous
`character of TaNx . Therefore, we may conclude that the TaNx film is
`more appropriate than the TaN film for applications in integrated
`circuits. Nevertheless, the TaNx film degrades seriously after anneal-
`ing at 900°C, indicating that TaNx may be less sustainable than TaN
`when encountering a severe upsurge in temperature.
`
`Acknowledgments
`The authors gratefully acknowledge the financial support from
`the National Science Council of Taiwan ~grant no. NSC-91-2216-E-
`006-059!.
`
`National Cheng Kung University assisted in meeting the publication
`costs of this article.
`
`References
`1. C. W. Park and R. W. Vook, Appl. Phys. Lett., 59, 175 ~1991!.
`2. P. J. Pan and C. H. Ting, IEEE Trans. Ind. Electron., IE-29, 154 ~1982!.
`3. S. P. Murarka, Mater. Sci. Eng., R., 19, 87 ~1997!.
`4. C. S. Liu and L. J. Chen, J. Appl. Phys., 74, 5501 ~1993!.
`5. A. Cros, M. O. Aboelfotoh, and K. N. Tu, J. Appl. Phys., 67, 3328 ~1990!.
`6. S. Q. Wang, I. J. M. M. Raaijmakers, B. J. Burrow, S. Suthar, S. Redkar, and K. B.
`Kim, J. Appl. Phys., 68, 5176 ~1990!.
`7. K. C. Park, S. H. Kim, and K. B. Kim, J. Electrochem. Soc., 147, 2711 ~2000!.
`8. E. Kolawa, J. S. Chen, J. S. Reid, P. J. Pokela, and M. A. Nicolet, J. Appl. Phys.,
`70, 1369 ~1991!.
`9. K. Holloway, P. M. Fryer, C. Cabral, Jr., J. M. E. Harper, P. J. Bailey, and K. H.
`Kelleher, J. Appl. Phys., 71, 5433 ~1992!.
`10. K. H. Min, K. C. Chun, and K. B. Kim, J. Vac. Sci. Technol. B, 14, 3263 ~1996!.
`11. G. S. Chen and S. T. Chen, J. Appl. Phys., 87, 8473 ~2000!.
`12. K. M. Latt, Y. K. Lee, S. Li, T. Osopowicz, and H. L. Seng, Mater. Sci. Eng., B, 84,
`217 ~2001!.
`13. K. M. Chang, T. H. Yeh, I. C. Deng, and C. W. Shin, J. Appl. Phys., 82, 1469
`~1997!.
`14. J. E. Kelsey, C. Goldberg, G. Nuesca, G. Peterson, A. E. Kaloyeros, and B. Arkles,
`J. Vac. Sci. Technol. B, 17, 1101 ~1999!.
`15. A. E. Kaloyeros and E. Eisenbraun, Annu. Rev. Mater. Sci., 30, 363 ~2000!.
`16. B. M. Ekstrom, S. Lee, N. Magtoto, and J. A. Kelber, Appl. Surf. Sci., 171, 275
`~2001!.
`17. M. H. Tsai, S. C. Sun, C. E. Tsai, S. H. Chuang, and H. T. Chiu, J. Appl. Phys., 79,
`6932 ~1996!.
`18. Y. K. Lee, K. M. Latt, J. H. Kim, T. Osipowicz, S. Y. Chaim, and K. S. Lee, Mater.
`Sci. Eng., B, 77, 282 ~2000!.
`19. H. Wang, A. Tiwari, X. Zhang, A. Kvit, and J. Narayan, Appl. Phys. Lett., 81, 1453
`~2002!.
`20. C. C. Chang, J. S. Jeng, and J. S. Chen, Thin Solid Films, 413, 46 ~2002!.
`21. K. Holloway and P. M. Fryer, Appl. Phys. Lett., 57, 1736 ~1990!.
`22. B. D. Cullity and S. R. Stock, Elements of X-Ray Diffraction, 3rd ed., p. 170,
`Prentice Hall, New Jersey ~2001!.
`23. P. Chaudhari, J. Vac. Sci. Technol., 9, 520 ~1971!.
`24. J. C. Chuang and M. C. Chen, Thin Solid Films, 322, 213 ~1998!.
`25. K. T. Miller, F. F. Lange, and D. B. Marshall, J. Mater. Res., 5, 151 ~1990!.
`
`Downloaded 10 Sep 2008 to 140.116.208.41. Redistribution subject to ECS license or copyright; see http://www.ecsdl.org/terms_use.jsp
`
`Page 5 of 5

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket