throbber
An Introduction to Lithography
`
`L. F. THOMPSON
`Bell Laboratories, Murray Hill, NJ 07974
`
`1.1 Historical Perspective
`1.1.a Solid State Devices
`1.1.b Lithography, the Art
`1.2 Lithography Strategies
`1.2.a Photolithography
`1.2.b X-ray Lithography
`1.2.c Electron Beam Lithography
`1.3 Resist Materials and Processes
`
`1
`
`2
`3
`7
`7
`9
`9
`10
`10
`
`0097-6156/83/0219-0001$06.00/0
`© 1983 American Chemical Society
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 1 of 13
`
`IP Bridge Exhibit 2013
`TSMC v. IP Bridge
`IPR2016-01246
`
`

`

`2
`
`I N T R O D U C T I ON TO M I C R O L I T H O G R A P HY
`
`1.1 Historical Perspective
`
`Soon after the invention of the point contact transistor over three decades
`ago, several important technological trends were rapidly established. First,
`a technology known as planar junction technology (1) was developed; the
`development of this technology permitted the construction of p-n junctions
`in a semiconductor material without the use of bulky,
`three-dimensional
`contact devices. Within a few years, silicon had become the
`dominant
`material for semiconductor
`fabrication, and photolithography was esta(cid:173)
`blished as the
`technique of choice in fabricating planar, silicon devices.
`Numerous volumes have been written about the chemistry and physics of
`semiconductor devices and of materials for semiconductor manufacturing
`(2-4). M u ch less information has been compiled concerning the processing
`steps involved in semiconductor device fabrication, especially in the area of
`lithography.
`the
`in semiconductor devices was
`innovation
`important
`Another
`invention of the monolithic integrated circuit. This technology provides a
`means for the internal
`interconnection of many solid-state and passive dev(cid:173)
`ices into a working unit that performs complex, electronic functions and
`allows the "density" of active devices to be increased many thousands of
`times over that achievable with discrete or single transistor devices. The
`past two decades have seen an astronomical growth in the microelectronics
`industry and associated
`technologies. The application of microelectronics
`impacts technologies such as communications, computer science, medicine,
`energy, and more recently, home entertainment. This revolution is going to
`continue for the foreseeable future and will require continued advances in
`silicon technology. The realization of this new generation of devices must
`involve
`the development of new processing,
`fabrication, control, and
`manufacturing technologies.
`The conventional way of achieving these goals has been to make dev(cid:173)
`ices smaller, this results in more active-circuits per unit area (5). Figure 1
`illustrates this trend, and it is the conviction of the author that this will con(cid:173)
`tinue for at least two more decades.
`It is interesting to note that not only
`are we decreasing the size of the elements
`in an integrated circuit while
`increasing the number of active devices, but that the average cost per chip is
`also remaining essentially constant — that is the cost per function is decreas(cid:173)
`ing dramatically. This trend has required and will continue to require a
`greater understanding of device physics, device design, and
`lithography.
`Microcircuit fabrication requires the selective diffusion of tiny amounts of
`impurities into specific regions of the semiconductor substrate to produce the
`desired electrical characteristics of the circuit. These regions are defined by
`lithographic processes in which the desired pattern is first defined in a resist
`layer (usually a polymeric film which is spin-coated onto the substrate) and
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 2 of 13
`
`

`

`1.
`
`T H O M P S ON
`
`Introduction
`
`to
`
`Lithography
`
`3
`
`1 0 .0
`
`8 .0
`
`CO I 6 .0
`

`

`Lu

`(/)
`Lu
`*
`ι-
`<
`LU
`Ll
`
`4 .0
`
`3.0
`
`Έ 2 .0
`
`^
`
`1.5
`
`1.0
`
`1 Κ R AM
`
`16 Κ R AM
`
`64 Κ R AM
`
`2 56 Κ R AM
`
`75
`
`76
`
`77
`
`78
`
`JL
`79
`
`80
`Y E AR
`
`J
`81
`
`L
`82
`
`83
`
`84
`
`85
`
`feature size on a MOS random access memory device as
`Figure 1. Minimum
`a function of the year the devices were first commercially
`available.
`
`transferred, via techniques such as etching, ion implantation
`subsequently
`and/or diffusion,
`to
`the underlying substrate. This process
`is shown
`schematically in Figure 2. The purposes of this book are: (a) to review the
`lithographic strategies currently being used and under development, and (b)
`to emphasize in particular, the importance of resists and resist processing in
`achieving each individual lithographic technology goal.
`
`1.1.a Solid State Devices. M a ny designs and strategies
`for device design
`and fabrication have intervened between the point contact transistor and the
`planar
`technology to which we alluded earlier, including grown junction
`transistors, alloyed junction transistors, and many variations of the planar
`technology. An understanding of
`the definition of
`integrated circuits,
`together with the details of the physics involved in their operation, is an
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 3 of 13
`
`

`

`4
`
`I N T R O D U C T I ON TO M I C R O L I T H O G R A P HY
`
`E X P O S U RE
`
`E X P O S I NG
`RADIATION
`
`^
`
`\ \ \ \ \ \ \ \\
`
`IRRADIATED
`/ REGION
`1
`
`RESIST
`
`THIN FILM
`
`S U B S T R A TE
`
`I
`D E V E L O P I NG
`
`POSITIVE RESIST
`
`NEGATIVE RESIST
`
`RESIST
`
`ETCHING AND S T R I P P I NG
`
`The schematic diagram showing the formation of a polymeric relief
`Figure 2.
`image using lithography.
`The resist pattern is used to subsequently modify the
`underlining
`substrate.
`
`interesting story in itself, but beyond the scope of this book whose objective
`is limited to the lithographic process involved in circuit manufacturing (6).
`A single transistor is essentially a junction between two types of semicon­
`ducting materials (denoted ρ and n) and metal connections to the outside
`world. A large-scale integrated circuit contains tens of thousands of these
`individual transistor elements that are interconnected in complex ways by
`conductors such as aluminum or highly doped polycrystalline silicon. A
`simple transistor element of an integrated circuit is depicted in Figure 3.
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 4 of 13
`
`

`

`1.
`
`T H O M P S ON
`
`Introduction
`
`to Lithography
`
`5
`
`S O U R CE
`
`G A TE
`I
`
`OXIDE L A Y ER
`
`DRAIN
`
`I J™
`
`n-REGION
`
`/
`
`V^ J V R E G I ON
`
`B A SE
`
`p-REGION
`
`Figure 3.
`
`Schematic representation of a single transistor similar to those used
`in a MOS memory device.
`
`is the dominant material for microelectronic circuits, pri(cid:173)
`Silicon
`marily because of the ease with which it oxidizes to form insulating barriers
`for
`the subsequent
`implanting of tiny amounts of dopants
`into selected
`regions to achieve the requisite electrical properties. The silicon dioxide
`insulator and other dielectric films that are commonly encountered such as
`silicon nitride films are patterned by a process known as photolithography.
`Photolithography is probably the key process in microelectronic fabrication
`technology, because it is repeated 5 — 12 times before the three-dimensional
`circuit geometries necessary for a completed metal oxide semiconductor
`( M O S) or bipolar device are achieved. Figure 4 is an outline of
`the
`manufacturing sequence of a large-scale integrated circuit and illustrates the
`importance of understanding the lithographic technology used to delineate
`the patterns of thin-film dielectrics and conductors. The structure of an
`integrated circuit is complex both in the topography of its surface and in its
`internal composition. Each element of such a device has an intricate three-
`dimensional architecture that must be reproduced exactly in every circuit.
`The structure is made up of many layers, each of which is a detailed pat(cid:173)
`tern. Some of the layers lie within the silicon wafer and others are stacked
`on the top. The manufacturing process consists in forming this sequence of
`layers precisely in accordance with the plan of the circuit designer.
`A concept for a new circuit is transformed into a circuit design by
`engineers who have a knowledge of both circuit electronics and processing.
`Circuit designers who conceive of the new product work at specifying the
`functional characteristics of the device and select the processing steps that
`will be required to manufacture it. The actual design of the device begins
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 5 of 13
`
`

`

`I N T R O D U C T I ON TO M I C R O L I T H O G R A P HY
`
`
`
`
`M A SK M A SK M A SK
`
`F A B R I C A T I ON F A B R I C A T I ON F A B R I C A T I ON
`
`
`- Ε - B E AM OR - Ε - B E AM OR - Ε - B E AM OR
`
`
`O P T I C AL O P T I C AL O P T I C AL
`
`Λ Λ
`, Απ Λ
`h^5j Ο ο Ο
`\Q
`
`I S O L A T I ON
`M A SK
`
`P O L Y S I L I C ON
`M A SK
`
`O X I D E-
`R E M O V AL
`M A SK
`
`M E T AL
`M A SK
`
`O V E R C O AT
`M A SK
`
`6
`
`
`C I R C U IT C I R C U IT
`
`D E S I GN D E S I GN
`
`I O P T I C AL
`OR
`X - R AY
`M A S KS
`
`D I R E CT
`E - B E AM
`W R I TE
`
`O X I D I Z ED
`W A F ER
`
`I S O L A T I ON
`
`C O N T A C TS
`G A TE
`D E F I N I T I ON
`
`I
`
`T E S T I NG
`
`B O N D I NG P A DS J
`I N T E R-
`C O N N E C T I O NS
`
`P A C K A G I NG
`A N D / OR
`A S S E M B LY
`
`Sequences
`Figure 4. Outline of Manufacturing
`device.
`
`for a
`
`typical solid state
`
`with an estimation of the size and approximate location of every circuit ele­
`ment. This preliminary design work is usually done with the aid of comput­
`ers. Upon completion of the circuit design layout, a computer memory con­
`tains a list of the exact position of every element in the circuit. From data
`in the computer memory a set of plates, called photomasks, is prepared.
`Each mask contains the pattern for a single layer of the circuit. Since the
`circuits are so small, many can be fabricated side by side simultaneously on
`a single wafer of silicon. Thus each photomask, typically a glass plate about
`five inches on a side, has a single pattern repeated many times over its sur­
`face.
`
`A complete set of correct masks is the culmination of the design
`phase of the development of the microelectronic circuit. The plates are
`delivered to the wafer-fabrication facility, where they will be used to pro­
`duce the desired sequence of patterns in a physical structure.
`As the complexity of integrated circuits increases and the dimensions
`of each circuit element decrease, greater demands are placed on
`the
`lithographic process, particularly with respect to resolution. Indeed, we are
`rapidly approaching the limits of photolithographic techniques for reproduc-
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 6 of 13
`
`

`

`1.
`
`T H O M P S ON
`
`Introduction
`
`to
`
`Lithography
`
`7
`
`the
`ing circuit geometries. We should also point out that in addition to
`exposure technology, the resist
`itself is also an important factor
`limiting
`resolution and must be considered as we continue the trend of decreasing
`element size and increasing integration complexity. In subsequent chapters
`we will examine the various alternatives to photolithography, as well as the
`importance of processing and resists in this industry.
`
`the Art.
`l.l.b Lithography,
`Lithography, often referred to as photoengrav­
`ing,
`is the process of transferring a pattern
`into a reactive polymer
`film
`(termed a resist) which will subsequently be used to replicate that pattern
`into an underlying thin
`film or conductor. Photolithography, which uses
`ultraviolet radiation (360 — 410 nm), is the current "workhorse" technology
`in integrated circuit fabrication. It is interesting to note that the technology
`currently used has been in existence since the early days of planar transistor
`development
`(7). M a ny of the processing steps, which were worked out
`empirically with the use of phenomenological models and parametric studies,
`fall more into the realm of art rather than science.
`The understanding of the physics and chemistry of resist materials
`and attendant processing has been largely neglected in the three decades of
`semiconductor device innovations. As the minimum feature size in a device
`approaches 1 μηι and new lithographic technologies develop rapidly, it is of
`paramount importance that we transform the important area of lithographic
`processing from an art to a science. Thus an understanding of the funda­
`mental physics and chemistry of resist exposure, together with the compati­
`bility of semiconductor processing steps with polymer
`films,
`is of crucial
`importance in extending semiconductor device geometries into the submicron
`region.
`
`1.2 Lithographic Strategies
`
`Integrated circuit fabrication requires a method for forming accurate and
`precise patterns on silicon substrates. These patterns delineate the area for
`subsequent doping and/or
`internal interconnection. As mentioned earlier,
`this is currently done by photolithography and is shown schematically in
`Figure 5. The photolithographic process consists of (a) producing a mask
`carrying the requisite integrated circuit pattern information for a given level
`and (b) subsequently transferring that pattern, using some optical technique
`into a photoactive polymer known as a resist. Typically, ultraviolet light in
`the wavelength region 350 — 430 nm is used with a variety of exposure
`techniques to be discussed later. Diffraction considerations limit the size of
`the individual elements to about 1 μηι, and new lithographic strategies will
`be required to achieve the dimensions and overlay accuracies required for
`future generations of devices. Several strategies are currently being pursued
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 7 of 13
`
`

`

`8
`
`I N T R O D U C T I ON TO M I C R O L I T H O G R A P HY
`
`THIN FILM
`
`PHOTORESIST
`/
`
`S U B S T R A TE
`
`PHOTORESIST
`
`U L T R A V I O L ET RADIATION
`
`j
`
`j
`
`I
`
`J
`
`J
`
`PHOTOMASK
`
`Figure 5. A typical photolithography process
`
` y
`
`to achieve this goal. These include: short wavelength photolithography (8-
`10)
` electron beam lithography (77-77), x-ray lithography (18-20) and ion
`beam lithography (27-30).
`The final choice as to which strategy will be
`adopted will depend not only on the capabilities in terms of performance,
`resolution and registration accuracy, but also on the cost effectiveness
`involved in manufacturing
`integrated circuits. At the present
`time,
`the
`lithographic process contributes only a small fraction (less than 10%) of the
`cost of a
`finished device. However, if a
`lithographic technology
`that
`requires
`large capital
`investments
`in exposure
`equipment with
`low
`throughput is chosen, the lithographic process could become a dominant fac-
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 8 of 13
`
`

`

`1.
`
`T H O M P S ON
`
`Introduction
`
`to
`
`Lithography
`
`9
`
`tor in the cost of submicron devices. Each of the potential lithographic stra­
`tegies has
`its own inherent
`limitations which must be evaluated;
`these
`include resolution, registration accuracy, throughput, capital investment in
`exposure hardware, and others.
`
`1.2.a Photolithography.
`The most common photolithographic technique is
`contact printing (8). This involves holding a mask just off the wafer surface
`and visually aligning the mask to the previous pattern on the wafer. This
`process is known as registration or alignment. After alignment is achieved,
`the mask is pressed into hard contact with the resist coated wafer which is
`then exposed through the mask with a flood beam of ultraviolet light. A
`modification of this technique is to maintain a space between the mask and
`the wafer. This technique, known as soft contact printing or proximity
`printing (27-25), minimizes mask/wafer damage caused by contact, but at
`the expense of resolution. A recent development in photolithography is the
`technique known as projection alignment, in which the mask image is pro­
`jected onto the wafer through a reflective optical system (8,24,26). This
`technique
`improves mask
`lifetime and decreases defect densities because
`intimate contact between
`the wafer and mask
`is not required. Because
`wafers are increasing in size every few years, a continuing problem is the
`task of designing optics that can form an accurate image over larger and
`larger
`areas. Another photolithographic
`technique which has
`been
`developed involves exposing a smaller area (on the order of 1 sq. cm.) and
`stepping this pattern over a large — diameter wafer. This technique (known
`as step-and-repeat photolithography)
`improves resolution and alignment
`accuracy.
`The minute size of the features that can be formed using photolithog­
`is ultimately limited by the wavelength of the exposing radiation.
`raphy
`Current photolithographic techniques can routinely reproduce geometries a
`few microns across, and it is likely that dimensions as small as 1 Mm can be
`produced using step-and-repeat photolithography. The resolution achieved
`by these photolithographic
`techniques can be improved by using shorter
`wavelength UV light.
`
`1.2.b X-ray Lithography.
`In many laboratories x-ray lithography has been
`investigated as a possible replacement for photolithography. X-rays with a
`wavelength of a few angstroms used in an exposure format similar to con­
`tact or proximity photolithography have been used to fabricate features as
`small as 0.02 μτη (27,30). Since x-ray lithography allows exposure of the
`entire wafer, the process is potentially inexpensive. However, many prob­
`lems still exist, including the fabrication of stable x-ray masks, alignment,
`and the availability of suitable, commercially available resist systems.
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 9 of 13
`
`

`

`10
`
`I N T R O D U C T I ON TO M I C R O L I T H O G R A P HY
`
` Electron Beam Lithography.
`1.2
`Electron beam lithography is a mature
`technology, which developed from scanning electron microscope developed in
`the early 1960's (31-36).
`Systems for electron beam exposure are very
`similar to scanning electron microscopes although the complexity of data
`transfer and computer-control systems needed for electron beam writing
`machines results in a cost of several million dollars per machine. Electron
`beam writing
`is capable of submicron pattern definition and
`is used
`currently by many companies to manufacture specialty, high-resolution dev(cid:173)
`ices.
`It is also used for the fabrication of photomasks that are used with
`one-to-one and step-and-repeat projection photolithographic systems. The
`principal drawback of electron beam lithography is low throughput coupled
`with high capital cost. However, progress in this field continues at a rapid
`pace, and no doubt
`less expensive, higher
`throughput machines will be
`developed in the next two decades. Two major advantages of electron beam
`lithography are (a) its ability to register accurately over small areas of a
`wafer and (b) lower defect densities. The latter advantage results from the
`lack of a need for intermediate masks.
`l.l.d
`Ion Beam Lithography.
`Ion beam lithography has been investigated
`recently by several workers (18-20). Compared with electron beam lithog(cid:173)
`raphy, it offers
`the advantage of improved resolution (ions are scattered
`much less than electrons during passage through the resist) as well as lower
`resist sensitivities. The hardware for this technique is similar to that of an
`electron beam exposure system,
`the major difference being the source.
`Before this technique can be competitive with electron beam lithography,
`however, advances must be made in the areas of high brightness sources and
`high speed deflection systems.
`limitations and
`In subsequent chapters we will briefly review the
`advantages of each of these technologies, and we will relate the advantages
`and disadvantages specifically to the resist and subsequent processing that
`must be employed to utilize each of these technologies.
`
`1.3 Resist Materials and Processes
`
`families of photoactive and/or radiation-sensitive materials have been
`Two
`described in the literature: organic and inorganic. The inorganic materials,
`described several years ago by Yoshikawa and co-worker (37,38), are based
`on silver-sensitized chalcogenide glasses and are sensitive to almost all forms
`of actinic radiation. These materials offer considerable promise for photol(cid:173)
`ithography and have been described in detail by T ai et al. (39). Organic
`materials are generally polymeric in nature, with properties tailored for a
`specific
`lithographic
`technology. This book will deal exclusively with
`organic-based
`radiation-sensitive materials
`that are used
`in lithographic
`processes.
`Photoresist and photoengraving processes have been described and
`in industry for well over 100 years.
`In 1826, W. H. F. Talbott
`used
`received a British patent (No. 565) on a photoetching process used
`to
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 10 of 13
`
`.C
`

`

`1.
`
`T H O M P S ON
`
`Introduction
`
`to Lithography
`
`11
`
`engrave copper. The resist material was gelatin, sensitized with a bichro(cid:173)
`mate salt; ferric chloride was the etchant.
`In 1852, J. N. Niepce discovered
`that certain types of asphalt were sensitive to ultraviolet radiation, and he
`applied these materials successfully to the etching of pewter and other
`decorative metals.
`In the ensuing years, many natural products (including
`protein, shellac, starches, and carbohydrates) were sensitized with dichro-
`mate and bichromate salts. These materials were all negative-acting resists
`(7,40).
`
`In 1958, P. J. Griess discovered that aromatic orthoquinone diazids
`would undergo reactions when exposed to ultraviolet light. Almost 100
`years elapsed before these materials were used in positive acting resist sys(cid:173)
`tems.
`In the early 1920s, Kalle and Company, AG in Germany, and its
`American subsidiary Azoplate Corporation developed the first positive-acting
`photoresist based on novolac resins and quinone diazid photoactive dissolu(cid:173)
`tion inhibiters (41). Originally this work was aimed at the fabrication of
`lithographic plates, and only later were these systems applied to microcircuit
`manufacturing.
`It is interesting to note that the basic chemistry and formu(cid:173)
`lations have undergone little change over the ensuing years and that these
`resist systems still constitute the "workhorse" materials of the semiconductor
`industry.
`The first modern day negative photoresists were developed by the
`Eastman Kodak Company which utilized cyclized rubbers and cinnamic acid
`derivatives as photosensitive crosslinking agents (42). The first commer(cid:173)
`cially important photoresist based on this chemistry was known as K P R,
`which was of a cinnamate ester of polyvinyl alcohol.
`It was introduced by
`Kodak in 1954.
`transistor, many photoresist
`the planar
`invention of
`Before
`the
`processes were developed for the manufacture of circuit boards. Experience
`gained in this area was rapidly transferred to silicon processing, and much
`of the early work in integrated circuit lithography can be traced directly to
`circuit board manufacturing.
`The basic concepts employed in early photolithography, both in
`materials and processing, have been extrapolated to modern lithographic
`technologies including x-ray and electron beam.
`In the remainder of this
`book we will discuss in detail the fundamental principles of chemistry and
`physics as they apply to the design and use of resist materials.
`Literature Cited
`1. Grove, A. S. "Physics and Technology of Semiconductor Devices";
`John Wiley and Sons: New York, 1967.
`2. Forester, T. "The Microelectronics Revolution", The MIT Press: Cam(cid:173)
`bridge Massachusetts, 1980.
` September 1977,
` (3).
`(Special issue on
`Microelectronics development.)
`
`3.
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 11 of 13
`
`
`Scientific American,
`
`237,
`

`

`12 INTRODUCTION TO MICROLITHOGRAPHY 4. Bylander, E. G. "Materials For Semiconductor Functions", Hayden Book Co.: New York, New York, 1971. 5. Moore, G. E. Proceedings of the IEEE, 1976, 64 (6), 307. 6. Young, E. S. "Fundamentals of Semiconductor Devices"; McGraw-Hill, New York, New York, 1978. 7. Deforest, W. S. "Photoresist Materials and Processes"; McGraw-Hill, New York, New York, 1975. 8. Doane, D. `., Solid State Technol., 1980, 23 (8), 101. 9. King, M. C.; Muraski, E. S. Proc. Soc. Photo-opt. Instrum. Eng., 1979, 174, 70. 10. King, M. C. IEEE Trans. Electron Devices, 1979, ED-26, 711. 11. Herriott, D. R.; Brewer, G. R. "Electron-Beam Lithography Machines" in Electron-Beam Technology in Microelectronic Circuit Fabrication; Academic Press, New York, New York, 1981; pp 141-216. 12. Varnell, G. R.; D. F. Hebley,; Robbins, R. R.; Carpenter, C.; Malone, J. J. J. Vac. Sci. Technol. 1980, 16, 1787. 13. Herriott, D. R.; Collier, R. J.; Alles, D. S.; Stafford, J. W. IEEE Trans. Electron Devices 1975, ED-22, 385. 14. Alles, D. S.; Ashley, F. R.; Johnson, A. M.; Townsend, R. L. J. Vac. Sci. Technol. 1975, 12, 1252. 15. Yourke, H. S.; Weber, ¯. V. Tech. Digest, IEDM 1976, Washington, pp 431-436. 16. Weber, ¯. V.; Moore, R. D. J. Vac. Technol. 1979, 16., 1780. 17. Pfeiffer, H. C. J. Vac. Sci. Technol. 1978, 15, 887. 18. Broers, A. N. Physics Today 1979, 11, 38. 19. Seliger, R. L.; Kubena, R. L.; Ulney, R. D.; Ward, J. N.; Wang, V. J. Vac. Sci. Technol. 1979, 16 (6), 1610. 20. Hall, T. M.; Wagner, `.; Thompson, L. F. J. Vac. Sci. Technol. 1979, 16, 1889. 21. Kaneko, T.; Umegaki, T.; Kawakomi, Y. Proc. Kodak Interface 80 1980, 25. 22. Caprari, F.; Doane, D. A. ECS Abs. 1980, 80 (2), 858. 23. Massetti, D. O.; Hockey, (cid:204). `.; McFarland, D. L. Proc. Soc. Photo-opt. Instrum. ENG, 1980, 32, 221. 24. Markle, D. `.; Solid-State Technol, 1974, 17 (6), 50. 25. Cathbert, J. D. Solid State Technol. 1077, 20 (8), 59. 26. Bruning, J. J. J. Vac. Sci. Technol. 1980, 17, 1147. 27. Spears, D. L.; Smith, ˙. I. Electron Lett. 1972, 8, 102. 28. Feder, R.,; Spiller, E.; Topalion, J. J. Vac. Sci. Technol. 1975, 12, 1332.
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 12 of 13
`
`

`

`1. THOMPSON
`
`13
`
`29. Fay, B.; Trotel, J.; Petroff, Y.; Pinchaux, R.; Thiry, P.
` 1976, 29, 370.
` Appl. Phys. Lett.
`30. Maldonado, J. R.; Coquin, G. Α.; Maydan, D.; Somekh, S.
` 1975, 12, 1329.
`J. Vac. Sci. Technol.,
`31. Pfeiffer, H. C.
`(1974), 11th, Boulder, Colorado, May 1974, San Francisco Press, San
`Francisco, California.
`32. Broers, A. N.
` 1972,
`pp 3-25.
` 1973, 979.
`
`33. Broers, A. N. J.
`34. Ailes, D. S.; Ashley, F. R.; Johnson, A. M.; Townsend, R. L. J.
` 1975, 12, p 1252.
`Vac. Sci. Technol.,
`35. Herriott, D. R.; Collier, R. J.; Alles, D. S.; Stafford, J. W.
`
` 1975, 385.
`IEEE Trans. Electron Devices,
`36. Varnell, G. L.; Spier, D. F.; Rodger, A. C.; Holland, R. D.
` San Francisco, California,
`Proc. Int. Conf. Electron Ion Beam Sci. Technol. 6th ,
`p 97.
`37. Yoshikawa, Α.; Ochi, Ο.; Nagai, Η.; Mizushima, Y.,
`
` 1977, (3), ρ 161.
`Appl. Phys. Letters,
`38. Yoshikawa, Α.; Ochi, Ο.; Nagai, H.; Mizushima, Y.
`
` 1976, (10), p 677.
`Appl. Phys. Lett.,
`39. Tai, Κ. L.; Sinclair, W. R.; Vadimsky, R. C.; Moran, J. M., J.
`
` 1977, 1977.
`Vac. Sci. Technol,
`40. Jorgensen, G. W.; Bruno, M. H., "The Sensitivity of Bichromated
`Coatings", 1954,
` 218, Lithographic Tech. Foundation, New
`York, New York.
`41. Kosar, J., "Light Sensitive Systems"; John Wiley & Sons, New York,
`New York, 1965; p 194.
`42. Minsk, L. M. US Pat. 2,725,372 (1955).
`43. Schlaback, T. D.; Rider, D. K., "Printed and Intergrated Circuitry";
`McGraw-Hill Co., New York, New York, 1963; p 85.
`
`RECEIVED February 4, 1983
`
`Downloaded by RENSSELAER POLYTECHNIC INST on January 21, 2017 | http://pubs.acs.org
`
` Publication Date: May 3, 1983 | doi: 10.1021/bk-1983-0219.ch001
`
` Thompson et al.; Introduction to Microlithography
`
`ACS Symposium Series; American Chemical Society: Washington, DC, 1983.
`
`Page 13 of 13
`
`
`Introduction to Lithography
`
`
`Record Symp. Electron, Ion, Laser Beam Technol.
`
`Proc. Symp. Electron, Ion Beam Sci. Technol.
`
`Vac. Sci. Technol,
`
`10, p
`
`
`
`ED-22, p
`
`
`
`31
`
`
`29
`
`
`16, p
`
`Bull.
`

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket