throbber
Polymer Dielectrics for Multichip
`
`
`Module Packaging
`
`
`
`
`
`PHILIP GARROU, SENIOR MEMBER, IEEE
`
`
`Invited Paper
`
`
`
`
`
`
`
`
`
`
`
`
`
`To keep pace with the advances, in IC performance packaging
`
`
`
`
`
`
`
`
`
`
`and interconnect technology has had to respond with a revolu-
`
`
`
`
`
`
`
`tionary packaging approach, dubbed multichip module (MCM).
`
`
`
`
`
`
`
`
`The performance enchancements seen from this technology come
`
`
`
`
`
`
`
`
`
`
`
`
`in part from the thin film polymeric dielectrics that are used in
`
`
`
`
`
`
`
`
`
`their fabrication. Polymer performance is based on the complex
`
`
`
`
`
`
`
`interrelationship between such properties as adhesion, stress,
`
`
`
`
`
`
`
`
`
`moisture absorption, and thermal and chemical stability and the
`
`
`
`
`
`
`
`
`inherent electrical and mechanical properties of the polymer,
`
`
`
`
`
`
`
`
`Several commercial materials based on polyimides (PI’s) or
`
`
`
`
`
`
`
`
`benzocyclobutenes (BCB ’s) are available. This paper reviews these
`
`
`
`
`
`
`
`
`
`materials and how their properties affect the overall performance
`
`
`
`
`
`
`and reliability of such MCM structures.
`
`
`
`I.
`
`
`INTRODUCTION
`
`
`
`
`
`
`
`As semiconductor manufacturers move toward the billion-
`
`
`
`
`
`
`
`
`transistor chip and system manufacturers strive for the
`
`
`
`
`
`
`
`
`hand-held mainframe, many have come to the conclusion
`
`
`
`
`
`
`
`
`that the performance advantages inherent in advanced de-
`
`
`
`
`
`
`
`
`
`
`vices cannot be exploited in advanced systems if we con-
`
`
`
`
`
`
`
`
`tinue to use “conventional” packaging and interconnect [1].
`
`
`
`
`
`
`
`Semiconductor device advances are directly related to
`
`
`
`
`
`
`
`
`
`a continuing decrease in feature size. Feature sizes have
`
`
`
`
`
`
`
`
`
`
`
`gone from ca. 1 pm in VLSI devices to submicron (<
`
`
`
`
`
`
`
`
`
`
`0.5 pm) features in ULSI devices. The smaller feature sizes
`
`
`
`
`
`
`
`
`provide increased gate density, increased gates per chip,
`
`
`
`
`
`
`
`
`
`and increased clock rates. With reduced feature size, each
`
`
`
`
`
`
`
`
`device has reduced parasitics, allowing faster switching and
`
`
`
`
`
`
`shorter gate-to-gate distances, reducing interconnect delays.
`
`
`
`
`
`
`
`
`
`
`
`Such benefits are offset by an increase in the number of
`
`
`
`
`
`
`
`
`
`
`
`I/O’s and in the power that is dissipated per chip [2].
`
`
`
`
`
`
`
`
`
`Over the last 25 years electronic packaging has remained
`
`
`
`
`
`
`
`somewhat stagnant, and advances have been evolutionary
`
`
`
`
`
`
`
`
`not revolutionary. In general, individually packaged chips in
`
`
`
`
`
`
`
`
`
`plastic or ceramic have been interconnected by through hole
`
`
`
`
`
`
`
`
`or surface mount attachment techniques on a single-layer or
`
`
`
`
`
`
`
`multilayer printed wiring boards. Those technologies served
`
`
`
`
`
`
`
`
`
`
`the industry well for many years [3]. For VLSI devices
`
`Manuscript received January 31, 1992; revised May 21, 1992.
`
`
`
`
`
`
`
`
`
`The author is with Central Research, Dow Chemical Company, 6100
`
`
`
`
`
`
`
`
`
`Fairview Road, Charlotte, NC 28210.
`
`
`
`
`
`IEEE Log Number 9206221.,
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`and beyond, however, such technologies become inefficient
`
`
`
`
`
`
`
`
`
`and limit performance. For example, even today, for an
`
`
`
`
`
`
`
`advanced CMOS microprocessor subsystem it is common
`
`
`
`
`
`
`
`
`
`
`to find cases where the capacitive load imposed by the
`
`
`
`
`
`
`
`
`
`
`
`
`packaging adds as much as 4 ns to the cycle time [4].
`
`
`
`
`
`
`
`
`This is easy to understand if one considers conven-
`
`
`
`
`
`
`
`
`tional single-chip packaging. A 1 cm VLSI chip having
`
`
`
`
`
`
`
`
`
`400 peripheral leads on 4 mil pitch would require a single-
`
`
`
`
`
`
`
`
`
`
`
`chip package 5 cm on a side to fan out to the pitch required
`
`
`
`
`
`
`for PWB (printed wiring board) attachment.
`
`
`
`
`
`
`
`
`
`To fully utilize high-speed devices in the future, inter-
`
`
`
`
`
`
`
`
`connect
`technologies will need to deliver shorter signal
`
`
`
`
`
`
`
`
`
`paths, lower capacitive load, and reduced circuit noise. A
`
`
`
`
`
`
`
`
`
`paradigm shift in packaging approach was needed to pro-
`
`
`
`
`
`
`
`
`duce such density gains in interconnect. The revolutionary
`
`
`
`
`
`
`
`
`
`technology that evolved to meet this objective has been
`
`
`
`
`
`
`
`dubbed MCM-D, or multichip module deposited. It involves
`
`
`
`
`
`
`
`interconnecting multiple bare dies on structures fabricated
`
`
`
`
`
`
`
`
`by multilayering and patterning thin films of conductor
`
`
`
`
`
`
`
`metallization and low dielectric constant “deposited” poly-
`
`
`
`
`
`
`
`
`
`
`
`mer on a base substrate which may or may not contain
`
`
`
`
`
`
`noncritical interconnect pathways within it [5].
`
`
`
`
`
`
`
`
`
`
`
`A printed wiring board can also be used as a substrate
`
`
`
`
`
`
`
`
`for the interconnect of bare chips (“chip-on-board”). The
`
`
`
`
`
`
`
`
`
`limitation is the relatively large line and via dimensions
`
`
`
`
`
`
`
`
`
`
`required in PWB fabrication. In order to obtain 1000 in/sq.
`
`
`
`
`
`
`
`
`
`
`
`in. of wiring (easily obtainable on an MCM having by two
`
`
`
`
`
`
`
`
`
`
`
`
`signal layers with 25 um lines on 50 pm pitch), a PWB
`
`
`
`
`
`
`
`
`
`interconnect scheme would require more than 14 layers at
`
`
`
`
`
`
`
`state-of-the-art feature sizes [6]. The comparison between
`
`
`
`
`
`
`
`
`
`wiring density availability on PWB versus MCM has been
`
`
`
`
`
`
`
`
`extensively studied by Messner [7], who has concluded
`
`
`
`
`
`
`
`
`that MCM interconnection will be cost-effective as well
`
`
`
`as performance driven.
`
`
`
`
`
`
`
`
`
`Another metric that has been used to compare the relative
`
`
`
`
`
`
`
`densities available from various technologies is the active
`
`
`
`
`
`
`
`
`silicon area. Here, packaging efficiency is defined as the
`
`
`
`
`
`
`
`
`ratio of substrate area to area occupied by semiconductor.
`
`
`
`
`
`
`
`
`Reche [8] has plotted this efficiency ratio for several
`
`
`
`
`packaging techniques (Fig. 1).
`
`
`
`
`
`
`
`
`
`PROCEEDINGS OF THE IEEE, VOL. 80, NO. 12, DECEMBER 1992
`
`
`
`
`
`
`
`
`
`
`
`
`0018-9219/92303.00 © 1992 IEEE
`
`
`
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 1 of 13
`
`

`
`'IlIble 1 US. Equipment Output and MCM Penetration (BPA Consultants [6])
`
`
`
`
`
`
`
`
`
`
`1995 Unit Ouput
`
`
`160
`26 000
`
`0000
`750
`
`
`0000
`400
`4400
`
`1 900
`
`
`Supercomputers
`Mainframes
`
`Workstations and Top PC
`
`
`
`
`Portable Communications
`
`
`Avionic Systems
`
`
`High Perfonnanoe Test
`
`
`
`
`
`Equipment Type
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`1995 MCM Penetration
`
`
`20%
`5 %
`12%
`4%
`l 0%
`5 %
`
`
`
`
`
`
`
`
`
`SE REDUCTION
`
`WEIGHT REDIICTION
`
`
`SIGNAL DEAV REDUCTION
`
`
`JUNCTION raursr-wruns
`REOUCYION
`
`RH.lABIl.l'l'Y IMPROVED
`HHLDOOSY
`
`UFECVQECOST
`
`COMPARED TO
`SURFACE MOUNT PCI
`
`
`
`
`
`
`
`EMPARED YO
`TNIIU-HOLE FCI
`
`
`
`
`
`
`IX
`
`3!
`
`IX
`
`20 c4
`
`5‘
`
`
`
`
`
`
`
`
`
`
`
`mt
`
`OX
`
`3X
`
`10¢.‘
`
`
`
`A!
`
`
`
`
`
`BETTE
`
`
`
`
`
`EITER
`
`
`
`
`
`
`
`
`
`ADVANTAGE
`
`
`
`
`
`
`
`
`
`I
`O
`
`
`
`
`
`
`
`Fig.2. MCM advantages over SMT and through-hole PWB
`
`
`
`
`
`
`
`
`packaging [14].
`
`
`
`
`
`l
`(
`
`
`
`
`
`LINEWIDTH (|lII)
`
`‘W Pwl
`
`
`
`
`
`
`IO mlcrom HDMI
`25 rnlemno HDMI
`
`
`
`
`
`
`EFFICIENCY (I)
`
`
`Fig. 1. MCM (HDMI) efficiency advantage versus alternative
`
`
`
`
`
`
`
`packaging techniques [8].
`
`
`
`
`
`
`
`
`
`
`
`
`It is becoming generally accepted that MCM technology
`
`
`
`
`
`
`delivers unquestioned superiority in electrical performance
`
`
`
`
`
`
`
`and interconnect density, while equally important gains
`
`
`
`
`
`
`
`
`
`
`are made in size and weight and significant advances are
`
`
`
`
`
`
`
`
`
`
`expected in reliability. It is for these reasons that multichip
`
`
`
`
`
`
`
`
`
`packaging has developed into the single most active packag-
`
`
`
`
`
`
`
`
`ing thrust in the 1990’s [9]. Recent govemment—sponsored
`
`
`
`
`
`
`
`
`
`studies on the impact of MCM packaging and interconnect
`
`
`
`
`
`
`
`
`technology on system performance have resulted in a wider
`
`
`
`
`
`
`appreciation of these facts [10], [11].
`
`
`
`
`
`
`
`
`
`Table 1 depicts projected MCM usage in selected appli-
`
`
`
`
`
`
`
`
`cations as ascertained by BPA consultants [12]. Thin-film
`
`
`
`
`
`
`
`
`MCM use is also projected for advanced telecommunication
`
`
`
`
`
`
`
`applications and for 1990’s consumer electronics applica-
`
`
`
`
`
`
`
`
`tions such as HDTV and automotive navigation systems
`
`[13].
`
`
`
`
`
`
`
`
`Size, weight, and reliability are important drivers for
`
`
`
`
`
`
`
`
`introduction of MCM technology into military and avionics
`
`
`
`
`
`
`
`
`application areas. Hagge and coworkers at Rockwell [14],
`
`
`
`
`
`
`
`
`
`[15] have shown that MCM technology offers an improve-
`
`
`
`
`
`
`
`
`
`
`
`
`ment by a factor of 2 to 5 over surface mount technology
`
`
`
`
`
`
`
`
`
`(Fig. 2) for a wide range of airborne electronic applications.
`
`
`
`
`
`
`
`
`
`
`A working example is shown in Fig. 3, where Him-
`
`
`
`
`
`
`
`
`
`mel and Licari compare the transformation of a Hughes
`
`
`
`
`
`
`
`
`
`
`
`
`system from 700 sq. in. to 80 sq. in. by replacing conven-
`
`
`
`
`
`
`
`
`tional technology with MCM technology (identified by the
`
`
`
`
`
`
`
`Hughes internal acronym HDMI (high density microelec-
`
`
`
`tronic interconnect)) [16].
`
`
`
`
`
`
`
`
`
`Speed is often cited as the most compelling long—term
`
`
`
`
`
`
`
`
`reason for acceptance of MCM-D technology in computer
`
`
`
`
`
`
`
`
`and telecommunication applications. Clock rates of 40 to
`
`
`
`
`
`
`
`
`
`
`50 MHz are often quoted as the threshold above which
`
`
`
`
`
`
`
`
`device performance is significantly impaired and thus MCM
`
`GARROU: POLYMER DIELECTRICS
`
`
`
`
`
`
`
`'F$flIH% mDHMHRm
`LIKPSGVEIIHPVT
`
`Fig. 3. MCM (HDMI) size advantage versus DI? and SMT im-
`
`
`
`
`
`
`
`
`
`
`plementation [16].
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`packaging schemes are essential [4]. It has been projected
`
`
`
`
`
`
`
`
`
`
`that by the mid-1990’s 18% of CMOS logic and most
`
`
`
`
`
`
`
`
`
`
`leading edge computers will be operating at 50 MHZ and
`
`
`above [12].
`
`
`
`
`
`
`
`
`
`second
`terabit per
`Osaki of NTT has noted that
`
`
`
`
`
`
`
`
`throughputs could be required during the implementation of
`
`
`
`
`
`
`broadband ISDN telecom networks. Such large-capacity
`
`
`
`
`
`
`high-speed switching and transmission systems will
`
`
`
`
`
`
`
`require MCM packaging technologies to implement signal
`
`
`
`
`
`
`
`
`switching [17]. AT&T MCM technology has been in
`
`
`
`
`
`
`
`production for their switching and transmission equipment
`
`
`
`
`
`
`
`
`in their Merrimack Valley facility since 1987 [18].
`
`
`
`
`
`
`Thin-filrn MCM technology has been implemented
`
`
`
`
`
`
`
`
`by mainframe manufacturers in systems
`the
`such as
`
`
`
`
`
`
`
`
`
`IBM 390/ES9000 [19],
`the NEC SX3 [20],
`the Hitachi
`
`
`
`
`
`
`
`M880 [21], and the DEC VAX-9000 [22].
`
`
`
`
`
`
`
`
`
`
`
`As is the case in IC device performance, feature size and
`
`
`
`
`
`
`
`
`the resulting density improvements are the main factors
`
`
`
`
`
`
`
`
`leading to improved electrical performance in these sys-
`
`
`
`
`
`
`
`tems. However, such technology was initially implemented
`
`
`
`
`
`
`
`
`because the interconnect density inherent
`in the MCM
`
`1943
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 2 of 13
`
`

`
`Table 2 Properties of Polymer Dielectrics
`
`
`
`
`
`
`
`
`Electrical Properties“)
`Photo-
`
`
`
`
`Physical/Mechanical Properties“)
`
`
`
`
`
`
`
`
`
`
`
`Breakdown
`
`
`
`
`
`
`
`
`CTE
`Tensile Strength
`Volt V/cm
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`(3123(PP‘“) Tg(°C) Ref. MP“ Elongation (%)
`
`
`
`
`
`
`E’ '
`5’
`Material
`Vendor
`Sensitive
`x 105
`
`
`
`
`
`
`
`
`
`
`
`
`DUPONT
`
`
`
`HITACHI
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`.002
`.002
`.
`.002
`.
`
`.003
`.003
`.002
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`PI-2545
`3.5
`40
`105
`>400
`35, 20
`
`
`
`15
`133
`>320
`40
`PI-2555
`3.3
`
`
`
`PI-2611D
`2.9(z)
`25
`350
`20(x,y) >400
`
`
`>100(z)
`3.9(x,y)
`
`15
`130
`40
`310
`PI—2722
`3.3
`
`
`
`
`
`10
`133
`45-58
`>350
`PIO-13
`3.4
`
`
`
`
`
`PIQ-L100
`3.2(z)
`35
`385
`8(x,y)
`410
`
`
`
`
`10
`124
`40
`— -—
`PL-2315
`3.3
`
`
`
`
`
`
`ClBA-
`56
`140
`PROB-400
`3.0
`39
`350
`
`
`
`
`
`GEIGY
`30
`145
`45
`280
`'Il0RAY
`UR-3800
`3.3
`
`
`
`
`
`
`
`NAT’L
`7
`31
`154
`EL-5010
`3.2
`38
`214
`
`
`
`
`
`STARCH
`
`4
`>350
`b
`119
`CEMOTA
`55
`>2
`.005
`IP-200
`2.9
`
`
`
`
`
`
`
`
`
`
`DOW
`>4
`.002
`BCB-13005
`2.7
`
`
`3.3
`44, 58
`8(0)
`52(c)
`>350
`85
`
`
`
`
`
`
`
` _mj.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`(a) Dielectric constant is dependent on humidity and frequency. Values given at 1 kHz where available. See references for exact measurement conditions.
`(b) Manufacturers data sheets.
`
`
`
`
`(c) For antioxidant grade XU71988.
`
`
`
`
`
`
`
`
`
`>2
`>2
`>2
`2.4
`
`3
`
`3.4
`3.3
`3.1
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`3.0
`
`2.4
`
`
`8.9
`
`
`
`
`
`
`
`.
`
`.
`
`29
`29
`29
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`".=~-©-°-O-""2
`
`ODA
`
`
`
`0
`
`--N
`
`-fig H.’
`H
`
`n
`
`;;'r
`‘H
`
`n
`
`Polynmic Acid
`
`
`
`
`Potymtug
`
`
`
`Fig. 4. Chemical reactions to form polyimide.
`
`
`
`
`
`
`
`
`0"
`
`PMDA
`
`
`
`‘
`
`
`
`
`
`
`
`
`
`
`
`Commercially viable materials are derived from the reaction
`
`
`
`
`
`
`
`
`
`of an aromatic dianhydride with an aromatic diamine [26],
`
`
`
`
`
`
`
`
`
`
`
`[27] as shown in Fig. 4. Products are supplied as soluble
`
`
`
`
`
`
`
`polyamic acid fl’AA)
`intermediates, which upon curing
`
`
`
`
`
`
`
`
`eliminate water. PAA solutions are thermally unstable and
`
`
`
`
`
`
`
`
`
`
`must be stored at 0° C. The curing reaction typically requires
`
`
`350°—450°C+.
`Volksen and coworkers have discussed the undesirable
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`characteristics of PAA’s such as their high curing tem-
`
`
`
`
`
`
`
`peratures, reactivity with copper metallizations, and low
`
`
`
`
`
`
`
`planarization capabilities and have shown that polyamic
`
`
`
`
`
`
`
`
`
`esters such as II resolve many of these problems (28):
`
`PROCEEDINGS OF THE IEEE, VOL 80, NO. 12, DECEMBER 1992
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`technique provided efficient signal redistribution from the
`
`
`
`
`
`
`
`
`
`
`wiring grid of the ceramic substrate to the wiring inter-
`
`
`
`
`
`
`
`
`connection grid on the semiconductor chip, reducing the
`
`
`
`
`
`
`
`
`number of cofired ceramic layers necessary to interconnect
`
`
`
`
`
`the high I/O count chips.
`
`
`
`
`
`
`
`
`
`Each company has its own acronym for this technology
`
`
`
`
`
`
`
`
`
`(i.e., AVP and POLYHIC at AT&T [23], [18] silicon-on-
`
`
`
`
`
`
`
`
`
`silicon at Rockwell [14], [15]), HDMI at Hughes [16],
`
`
`
`
`
`
`
`
`
`etc.) and its own modifications to the overall fabrication
`
`
`
`
`
`
`
`
`process, such as substrate material (silicon Versus cofired
`
`
`
`
`
`
`
`
`
`ceramic versus metal), conductor (Cu versus Al versus Au),
`
`
`
`
`
`
`
`via interconnection technique (unfilled versus filled versus
`
`
`
`
`
`
`
`
`
`plated up posts), die attach technique (wire bond versus
`
`
`
`
`
`
`
`
`
`TAB (tape automated bonding) versus flip chip) to name
`
`
`
`
`
`
`
`
`
`just a few [24]. However, one commonality among nearly
`
`
`
`
`
`
`
`
`
`
`all the current approaches is the use of polymeric insulator
`
`
`
`
`
`to separate the conductor traces.
`
`II. POLYMERIC THIN-FILM DIELECTRIC LAYERS
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`The widespread use of polymers as insulating layers in
`
`
`
`
`
`
`
`
`microelectronic structures is relatively recent [25]. The suit-
`
`
`
`
`
`
`
`
`
`ability of a specific polymeric material is highly dependent
`
`
`
`
`
`
`
`
`
`
`on the process chosen to fabricate the structure and the
`
`
`
`
`
`
`
`
`
`intended application of the module. The recent increase in
`
`
`
`
`
`
`
`
`
`MCM activity has resulted in the proliferation of polymeric
`
`
`
`
`
`
`
`
`
`materials for this market. General properties for the leading
`
`
`
`
`
`
`
`
`dielectric candidates (materials most frequently cited in the
`
`
`
`
`
`
`literature) are compared in Table 2.
`
`III. POLYMERIC MATERIALS
`
`
`
`
`
`
`
`A. Polyimides
`
`
`
`
`
`
`
`
`
`Polyimides are a class of polymers containing the func-
`tional unit I:
`
`
`
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 3 of 13
`
`

`
`rv. "" @ ' ©.‘@ @‘:
`
`
`
`
`
`
`
`Developed by Hughes and commercialized by National
`
`
`
`
`
`
`
`the Thermid PI’s, V, consist of low-molecular-
`Starch,
`
`
`
`
`
`
`
`weight PI oligomers terminated in acetylenic end groups:
`
`
`
`
`
`V. -'=b1L§0<I§O1§00E};5*
`
`
`
`
`
`
`
`
`The acetylene groups cross-link during cure without the
`
`
`
`
`evolution of water (31).
`
`
`
`
`
`Polyphenylquinoxalines (PPQ’s), VI, commercialized by
`
`
`
`
`
`
`
`
`
`Cemota under the trade name Syntorg are fully cyclized
`
`
`
`
`
`
`and stable at room temperature (32):
`
`
`
`
`Table 3 Pyralin Product Line
`
`
`
`
`CHEIIISTRY TYPE
`
`
`
`
`
`
`
`
`
`
`
`
`
`111
`PI-2575
`Pl-555
`PI-2701
`Pl~27ZZ
`
`
`I
`
`P1 7545
`
`
`
`
`
`
`
`Type I PMDA/ODA
`
`
`
`
`Type III BTDAIODA/m-PDA
`
`
`
`
`
`
`
`
`
`
`2) Photosensitive Polyimides; Photosensitive PI’s contain
`
`
`
`
`
`
`photoreactive groups that lead to photocrosslinking with
`
`
`
`
`
`
`
`
`adjacent polymer chains when exposed to UV light. This
`
`
`
`
`
`
`
`
`leads to a differential solubility between the exposed and
`
`
`
`
`
`
`
`unexposed portions of the film, and,
`thus, development
`
`
`
`
`
`
`
`
`inherently
`like a negative photoresist. PI’s that are not
`
`
`
`
`
`
`
`photosensitive are modified by “covalent” (VII) or “ionic”
`
`
`
`
`
`
`
`
`(VIII) attachment of photosensitive groups to the parent PI
`molecules:
`
`
`
`
`
`
`
`
`
`
`
`©Cova|ontbondi1utypo
`
`
`
`I000‘
`
`000'!
`
`moo‘
`Inn:
`+n.n-An-M-t.~.
`'
`®ooc/‘"‘ooo®
`'“’ ®0oc
`®!PI'ntnsIIIti1itIDG'IID
`
`com-M1»
`ooo® »
`
`Type v BPDAIPDA
`
`
`
`
`
`
`
`
`
`
`Such materials are becoming commercially available.
`
`
`
`
`
`
`
`1) Commercially Available Polyimides: The Pyralin series
`
`
`
`
`
`
`
`
`
`of PI products
`from DuPont are based on several
`
`
`
`
`
`
`
`amine/dianhydride chemistries
`shown in Table 3.
`as
`
`
`
`
`
`
`
`
`
`Typel chemistry is based on PMDA/ODA,
`type III on
`
`
`
`
`
`
`
`BTDA/ODA/m-PDA, and type V on PBDA/p-PDA [29].
`
`
`
`
`
`
`
`
`PIQ polyimides were introduced by Hitachi Chemical in
`
`
`
`
`
`
`
`
`
`
`the early 1980’s as an interlayer dielectric for LSI chips
`
`
`
`
`
`
`
`
`
`
`
`[30]. Structure III was introduced into the PI chain in order
`
`
`
`
`
`
`
`
`to increase thermal stability. PIQ polyimides have structures
`
`
`
`
`exemplified by PIQ-L100 (IV).
`
`
`
`VII.
`
`VIII.
`
`
`
`® Ion bonding type
`0
`.
`,c+H-Ar.
`
`
`
`,_
`
`n+M ®
`
`
`
`9
`WC \
`
`Ar
`
`‘fume’ ‘cow
`
`GARROU: POLYMER DIELECTRICS
`
`
`
`
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 4 of 13
`
`

`
`Table 4 Comparison of Properties for Cured Photosensitive Films
`
`
`
`
`
`
`
`
`
`
`
`Type
`
`Covalent
`
`Ionic
`
`
`(a) Adhesion to Si wafer after 20 hours of PCI‘.
`
`
`
`
`
`
`
`
`
`
`
`
`Elongation (%)
`
`
`<1
`10
`
`
`
`
`
`
`
`
`
`
`
`Kojima and coworkers (33) have compared the properties
`
`
`
`
`
`
`
`
`
`of “covalent” and “ionic” photosensitive PI’s for the same
`
`
`
`
`
`
`
`
`
`diamine/diacid combinations as shown in Table 4. While
`the covalent materials show better resolution in thicker
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`layers, the ionic materials reveal vastly superior mechanical
`
`properties.
`
`
`
`
`
`
`
`
`
`
`PI’s such as IX, derived from BTDA and certain ortho-
`
`
`
`
`
`
`
`alkyl substituted aromatic amines, are inherently photosen-
`
`
`sitive [.34]:
`
`IX.
`
`en.
`
`b‘
`
`3
`
`n
`
`
`
`
`
`
`
`
`
`Several processing steps can be omitted when using
`
`
`
`
`
`
`
`
`
`
`photoimagible polymers as shown in Fig. 5. The use of
`
`
`
`
`
`
`
`photosensitive polyimides has been extensively detailed by
`
`
`
`
`
`
`
`
`
`companies such as Boeing [35], NTI‘ [36], NEC [37],
`
`
`
`
`
`
`
`
`Toshiba [38], and Mitsubishi [39]. Toray Photoneece pho-
`
`
`
`
`
`
`
`tosensitive PI’s and the Hitachi photosensitive products
`
`
`
`
`
`
`
`
`
`
`
`(designated by a PI. suffix) are of the ionic variety [40].
`
`
`
`
`
`
`
`
`
`Dupont photosensitive products are based on type III chem-
`
`
`
`
`
`
`
`
`
`
`istry and have been of the “covalent” variety. OCG (Olin-
`
`
`
`
`
`
`
`
`
`Ciba Geigy) 400 series Probamides are of the inherently
`
`
`
`photosensitive (IX) type.
`
`
`
`
`
`
`
`
`3) Low-CTE Polyimides: Detailed studies have been car-
`
`
`
`
`
`
`
`
`
`ried out to understand the relationship between PI structure
`
`
`
`
`
`
`
`
`and the coefficient of thermal expansion (CTE) [41]—[42].
`
`
`
`
`
`
`
`
`PI’s having rigid backbones reveal very high modulus
`
`
`
`
`
`
`
`
`
`
`and low biaxial (in plane) CTE values. These values are
`
`
`
`
`
`
`
`
`highly anisotropic resulting in Z axis CTE’s reportedly
`
`
`
`
`> 100 ppm [43].
`
`
`
`
`
`
`
`Commercially, low—CTE PI products are exemplified by
`
`
`
`
`
`
`
`Pyralin type V products and PIQ-L100 (IV).
`
`
`
`B. Benzocyclobutenes
`
`
`
`
`
`
`Benzocyclobutenes, X, commercialized by Dow Chemi-
`
`
`
`
`
`
`
`
`cal under the trade name Cyclotene, polymerize thermally
`
`
`
`
`
`
`
`without
`the evolution of by-products. Siloxy containing
`
`
`
`
`
`
`
`
`
`DVS-BCB, XI, is the first BCB introduced for application
`
`
`
`
`
`
`
`in microelectroniw [44]—[48]. BCB's are thermally stable
`
`
`
`
`
`
`
`
`at room temperature and cure at 220°—Z50° C.
`
`
`
`
`
`
`
`
`A photosensitive BCB product has recently been intro-
`
`
`duced [49].
`
`
`X. @R@
`
`
`
`
`
`Tensile Strength (MPa)
`
`
`<10
`116
`
`
`
`
`
`
`Peel St:rength(“)
`
`:_(2.Lcm)__
`0
`
`260
`
`
`
`
`
`
`UVMQUA
`llllllllll
`
`Fig. 5. Processing steps for feature generation in photosensitive
`
`
`
`
`
`
`
`
`versus nonphotosensitive PI.
`
`
`
`
`
`
`
`
`
`
`XI.
`
`
`
`
`
`
`
`
`
`IV. POLYMER PERFORMANCE
`
`
`
`
`
`
`
`
`
`
`
`
`There is good agreement that it is essential to evaluate
`
`
`
`
`
`
`
`the following criteria when choosing a polymeric dielectric
`
`
`
`
`
`
`
`
`
`
`for the fabrication of a reliable thin—film structure [1], [3].
`
`
`
`
`
`
`
`
`
`As stated previously, the best material will be determined
`
`
`
`
`
`
`
`
`by the processes chosen to fabricate the structure.
`
`
`
`
`A. Processing Properties
`
`
`
`
`
`
`
`1) Dielectric Application: Organic dielectrics are typi-
`
`
`
`
`
`
`
`
`
`
`cally applied by spin coating. The polymer needs to achieve
`
`
`
`
`
`
`
`
`the desired thickness in the fewest coating applications
`
`
`
`
`
`
`
`
`possible, to exhibit thickness uniformity across the wafer,
`
`
`
`
`
`
`
`
`
`and to produce a smooth, planar, pinhole-free film. The
`
`
`
`
`
`
`
`
`need for planarization is described separately below. Cured
`
`
`
`
`
`
`
`
`
`
`
`film thickness is a function of both spin speed and spin
`
`
`
`
`
`
`
`
`
`
`time. Nonuniformity across the wafer can result if the spin
`
`PROCEEDINGS OF THE IEEE, VOL. 80, NO. 12, DECEMBER 1992
`
`
`
`
`
`
`
`
`
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 5 of 13
`
`

`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`process [58] has been detailed where the time of the cure
`
`
`
`
`
`
`
`
`
`operation has been reduced to < 15 minutes by processing
`
`
`
`
`
`
`
`
`
`
`the substrates in a belt furnace that allows access to rapid
`
`
`
`
`
`heat up and cool down.
`
`
`
`
`
`
`
`
`
`3) Etching: Etching of the polymer dielectric is neces-
`
`
`
`
`
`
`
`
`
`
`sary to create the vias that interconnect the power, ground,
`
`
`
`
`
`
`
`
`and signal
`layers. For polyimides, both “wet” chemical
`
`
`
`
`
`
`
`
`etching and “dry” plasma etching have been reported.
`
`
`
`
`
`
`
`
`
`The use of photoimagible polymers avoids this need, as
`
`
`
`
`
`
`
`
`
`was discussed earlier. Only partially cured PI films can
`
`
`
`
`
`
`
`
`
`be wet etched. Strong base etchants for wet processing
`
`
`
`
`
`
`
`
`
`of partially cured PIQ have been described [59]. The
`
`
`
`
`
`
`
`
`problems encountered during the base etching of polyirnide
`
`
`
`
`
`
`
`
`
`films have been detailed [60]. The low CTE polyimides
`
`
`
`
`
`
`
`
`
`are reportedly more resistant to wet chemical etching. In
`
`
`
`
`
`
`
`
`
`general, the thicker layers of dielectric required for MCM
`
`
`
`
`
`
`
`
`
`fabrication preclude the use of wet etch techniques. Inoue
`
`
`
`
`
`
`
`
`
`
`
`
`
`[21] has detailed a wet etch process for 8 pm of PI used
`
`
`
`
`
`
`
`
`in the HITAC M-880 microchip carrier. Although well
`
`
`
`
`
`
`
`
`
`controlled,
`the process is complex and includes the need
`
`
`
`
`
`
`
`
`
`for Cr barrier metallization (for Al conductors) and Ar
`
`
`back-sputter descumming.
`
`
`
`
`
`
`
`
`
`
`
`Dry plasma processing can also be used to etch vias in
`
`
`
`
`
`
`
`polymer dielectrics. Depending on the MCM construction
`
`
`
`
`
`
`
`
`
`approach, isotropic or anisotropic etching is used to produce
`
`
`
`
`
`
`
`
`
`
`sloped or straight walled vias [61], [62]. The final shape,
`
`
`
`
`
`
`
`
`
`
`profile, and size of the etched via are determined by
`
`
`
`
`
`
`
`
`the masking technique employed and the RIE parameters.
`
`
`
`
`
`
`
`
`
`PI’s can be etched in 02 plasma, but the incorporation
`
`
`
`
`
`
`
`
`
`of fluorine containing etch gases allows better control
`
`
`
`
`
`
`
`
`
`
`of sidewall slope and up to five times faster processing
`
`
`
`
`
`
`
`
`
`
`
`
`[63], [64]. PI can be masked by a thick layer of positive
`
`
`
`
`
`
`
`
`photoresist although hard masks are sometimes used to
`
`
`
`
`
`
`
`
`
`avoid enlargement of the vias during etching [65]. DVS-
`
`
`
`
`
`
`
`
`
`
`BCB is highly resistant to wet chemical etching. Its silicon
`
`1947
`
`
`
`Fig, 6. Spin coating parameters for DVS-BCB application.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`speed is either too slow or too fast [50]. A plot of coating
`
`
`
`
`
`
`
`
`
`thickness versus spin speed is shown for DVS-BCB in
`
`
`Fig. 6.
`
`
`
`
`
`
`
`
`It is important to remove organic contaminants from the
`
`
`
`
`
`
`
`
`surface prior to application since spin-on polymers tend to
`
`
`
`
`
`
`
`
`pull away from these areas leaving “pinholes” [51].
`
`
`
`
`
`
`
`
`
`
`There is very little published literature on spray coating of
`
`
`
`
`
`
`
`
`
`dielectric layers. Spray deposition of thin coatings of highly
`
`
`
`
`
`
`
`
`viscous materials is a complex process. The thickness, uni-
`
`
`
`
`
`
`
`
`
`
`formity, and texture of the sprayed surface are influenced by
`
`
`
`
`
`
`
`the viscoelastic properties of the polymer, the concentration
`
`
`
`
`
`
`
`
`
`of the polymer in solvent, and the critical surface tension
`
`
`
`
`
`
`
`
`at the interface [52], [53]. AT&T POLYHIC substrates are
`
`
`
`
`
`
`manufactured using a spray coating process.
`
`
`
`
`
`
`
`
`2) Curing: During Pl curing (400°C+) two processes
`
`
`
`
`
`
`
`
`
`take place, the loss of N-methyl pyrolidone solvent and
`
`
`
`the elimination of water. Total cure times of 4 to 6 hours
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`are typical. It is recommended that curing be performed in
`
`
`
`
`
`
`
`
`an inert environment. Improper or incomplete curing can
`
`
`
`
`
`
`
`
`
`
`
`have a pronounced effect on the proprieties of a PI film.
`
`
`
`
`
`
`
`
`
`Monitoring of the degree of cure using IR spectroscopy
`
`
`
`
`
`
`
`
`
`
`has demonstrated that the rate of reaction is dependent on
`
`
`
`
`
`
`
`
`
`both temperature and thickness [54]. PI curing can also
`
`
`
`
`
`
`
`
`be followed by monitoring electrical property changes by
`
`
`microdielectrometry [55].
`
`
`
`
`
`
`
`Heacock has studied the hydrolysis of PMDA/ODA, the
`
`
`
`
`
`
`
`reverse of the imidization reaction, at elevated temperature
`
`
`
`
`
`
`
`
`and humidity [56]. PI hydrolysis has also been discussed
`
`
`
`
`
`in detail by Volksen [28].
`
`
`
`
`
`
`
`
`
`BCB curing is a purely thermal process. No catalysts are
`
`
`
`
`
`
`
`
`
`
`required and no violates are produced. BCB’s must be cured
`
`
`
`
`
`
`
`
`
`in a low-oxygen (< 100 ppm 02) environment to prevent
`
`
`
`
`
`
`
`
`
`oxidation of the structure. Oxidation negatively impacts the
`
`
`
`
`
`
`
`
`
`
`
`properties of the resultant film [44]. Curing in a box oven
`
`
`
`
`
`
`
`
`
`
`requires 4 to 5 hours. A recent rapid thermal curing (RTC)
`
`
`
`
`
`GARROU: POLYMER DIELECTRICS
`
`
`
`
`
`SAMSUNG ET AL. EXHIBIT 1051
`Page 6 of 13
`
`

`
`ElalrRoll(Inpmlpulu)
`
`
`
`1 0 0 0
`
`Flulnel
`
`Z 0 II 0
`
`(In nhllemz)
`
`
`
`
`
`
`Fig. 7. Etch rate versus fluence for several polymer dielectrics.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`content requires fluorine containing plasmas [44],
`
`
`
`
`
`
`
`
`
`Metal, SiO2, or photoresist etch masks can be used.
`
`
`
`
`
`
`
`
`
`
`Laser processing has also been shown to be a viable
`
`
`
`
`
`
`
`
`technique for via formation [67]. A detailed comparison
`
`
`
`
`
`
`
`
`of direct write, scanning, and projection laser ablation
`
`
`
`
`
`
`
`
`
`techniques has been published [64]. The ablation rate for
`
`
`
`
`
`
`
`
`various polymer dielectrics is shown in Fig. 7.
`
`[46].
`
`
`
`
`
`
`
`
`
`
`B. Pabzmer Electrical Properties
`
`
`
`
`
`
`
`
`
`1) Dielectric Constant: Dielectric constant (c’) is the most
`
`
`
`
`
`
`
`critical electrical parameter for a microelectronic polymer.
`
`
`
`
`
`
`
`
`
`The lower the dielectric constant,
`the faster the signal
`
`
`
`
`
`propagation velocity, as described by
`
`
`(1)
`Vp = ex/c7
`
`
`
`
`
`
`
`
`
`
`where Vp is the velocity of propagation, 6' is the dielectric
`
`
`
`
`
`
`
`
`
`
`
`constant of the insulator, and c is the speed of light.
`
`
`
`
`
`
`
`
`
`A lower dielectric constant allows signal
`traces to be
`
`
`
`
`
`
`
`designed wider and the dielectric thickness decreased.
`It also allows one to maintain the same characteristic
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`impedance while lowering the line resistance and cross talk.
`
`
`
`
`
`
`
`
`Good dielectric performance is obtained from a material
`
`
`
`
`
`
`
`
`whose dielectric constant is invariant with frequency and
`
`temperature.
`
`
`
`
`
`
`
`
`Dielectric constants of typical MCM polymers are shown
`
`
`
`
`
`
`
`
`
`
`in Table 2. Polyimides have dielectric constants in the range
`
`
`
`
`
`
`
`
`
`
`of 3.2 to 3.4. Low CTE Pl’s reveal highly anisotropic
`
`
`
`
`
`
`
`
`dielectric constants (estimated 3.0(z), 3.8(:r7, y)) [43]. DVS-
`
`
`
`
`
`
`
`
`BCB has an isotropic dielectric constant of 2.7.
`
`
`
`
`
`
`
`
`2) Dissipation Factor.’ Dissipation factor (e”) and loss
`
`
`
`
`
`
`
`tangent (e”/e’) are also important electrical parameters,
`
`
`
`
`
`
`
`
`
`especially at high frequencies. bow values are indicative of
`
`
`
`
`
`
`
`
`
`minimal conversions of electrical energy to heat and little
`
`
`
`overall power loss.
`
`
`
`
`3) Dielectric Strength: Dielectric strength/breakdown
`
`
`
`
`
`
`
`
`voltage defines the voltage that causes current
`to flow
`
`
`
`
`
`
`
`
`
`through the insulator. The materials listed in Table 2 have
`
`
`
`
`
`
`
`values in the range of 106 V/cm.
`
`
`
`
`
`
`
`
`
`
`C. Physical, Mechanical Properties
`
`
`
`
`
`
`
`
`1) Water Absorption: Water absorption impacts both the
`
`
`
`
`
`
`
`
`electrical properties and the processibility of MCM di-
`
`
`
`
`
`
`
`
`electrics. Absorption of water, with a dielectric constant of
`
`
`
`
`
`
`
`
`
`78, raises the dielectric constant of an insulating polymer
`
`
`
`
`
`and thus affects circuit performance.
`
`1948
`
`
`
`
`
`
`
`
`
`l POLYMER
`
`
`
`
`
`
`
`
`
`
`_L
`I
`
`
`
`
`
`
`
`
`
`
`
`Doom ot Planarlzauon (Don a I - 'I_ x 100
`‘.
`
`
`
`
`Fig. 8. Planarization of a metal feature.
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`Absorbed moisture can also cause severe damage to
`
`
`
`
`
`
`structures during fabrication. Uncontrolled outgassing of
`
`
`
`
`
`
`
`
`moisture from underlying layers of dielectric during sub-
`
`
`
`
`
`
`
`sequent high-temperature processing can result
`in blis-
`
`
`
`
`
`
`
`
`tering and delamination of the thin-film structures being
`
`
`
`
`
`
`
`
`fabricated. [50], [63], [68]. Bakeout cycles are routinely
`
`
`
`
`
`
`
`
`employed during the fabrication of MCM’s with polymers
`
`
`
`
`
`
`
`that exhibit significant moisture absorption. Gridded ground
`
`
`
`
`
`
`
`
`
`
`
`planes and in some cases gridded signal planes are used to
`
`
`
`
`
`
`
`
`
`
`
`allow moisture to escape [69]. It has been reported that PI
`
`
`
`
`
`
`
`
`moisture absorption increases after aging at 85°C/85% RH
`
`[70].
`
`
`
`
`
`
`
`
`
`Moisture uptake is specific to the polymer in question
`
`
`
`
`
`
`
`
`
`and the conditions of the experiment. Reported water ab-
`
`
`
`
`
`
`
`
`sorption values, with conditions where available, are given
`in Table 5.
`
`
`
`
`
`
`
`
`
`
`
`
`2) Planarization: Relief existing on a surface prior to
`
`
`
`
`
`
`
`
`photolithography will affect the resolution and quality of
`
`
`
`
`
`
`
`
`
`subsequent conductor traces. One of the main functions of
`
`
`
`
`
`
`
`
`
`the insulator layer is to planarize the underlying topography
`
`
`
`
`
`
`
`
`
`
`
`
`and, thus, provide a flat focal plane for the next layer. Lack
`
`
`
`
`
`
`
`
`of topographic planarity can lead to subsequent nonuniform
`
`
`
`
`
`
`
`
`metal thickness (poor step coverage). These thinned and
`
`
`
`
`
`
`
`weakened areas are susceptible to cracking [71].
`
`
`
`
`
`
`
`
`
`Rothman,
`in her classic paper, defined the degree of
`
`
`
`
`
`
`
`
`
`planarization (DOP) for a polymer dielectric as shown in
`
`
`
`Fig. 8 [72].
`
`
`
`
`
`
`
`
`
`Senturia and coworkers [73] have shown that the DOP
`
`
`
`
`
`
`
`
`
`
`obtained

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket