throbber
Page 1 of 51
`
`SAMSUNG ET AL. EXHIBIT 1085
`Samsung et al. v. Elm 3DS Innovations, LLC
`IPR2016-00387
`
`

`

`aterias cienoe
`of
`
`Thin Fi ms
`
`Ii———————£
`
`Milton Ohring
`Stevens Institute of Technology
`Department of Materials Science and Engineering
`Hoboken, New Jersey
`
`~riu‘9 hawk
`
`befaubs “H ~.
`
`\[t/omuz waj
`312x 389'’
`Crzp/1/(em
`
`zt4?r¥o<:z,
`3%?-~90}.
`
`ACADEMIC PRESS, INC.
`Harcourt Brace Jovanovich, Publishers
`
`Boston San Diego I New York
`London Sydney Tokyo Toronto
`
`Page 2 of 51
`
`

`

`This book is printed on acid—free paper.
`
`Copyright © 1992 by Academic Press, Inc.
`All rights reserved.
`No part of this publication may be reproduced or
`transmitted in any form or by any means, electronic
`or mechanical, including photocopy, recording, or
`any information storage and retrieval system, without
`permission in writing from the publisher.
`
`Designed by Elizabeth E. Tustian
`
`ACADEMIC PRESS, INC.
`1250 Sixth Avenue, San Diego, CA 92101
`
`United Kingdom Edition published by
`ACADEMIC PRESS LIMITED
`
`24-28 Oval Road, London NW1 7DX
`
`Library of Congress Cata1oging—in—Publication Data
`
`Ohring, Milton, date.
`The materials science of thin films / Milton Ohring.
`p.
`cm.
`Includes bibliographical references and index.
`ISBN 0-12-524990-X (Alk. paper)
`1. Thin films.
`I. Title.
`TA418.9.T45047
`1991
`620’.44—dc20
`
`Printed in the United States of America
`91929394
`987654321
`
`Page 3 of 51
`
`

`

`"-
`Chapter 8FT...
`
`Enterdiffusion and
`
`Reactions in Thin Films
`
`8.1 .
`
`INTRODUCTION
`
`There is hardly an area related to thin-film formation, properties, and perfor-
`mance that is uninfluenced by mass-transport phenomena. This is especially
`true of microelectronic applications, where very small lateral as well as depth
`dimensions of device features and film structures are involved. When these
`
`characteristic dimensions (d) become comparable in magnitude to atomic
`diffusion lengths, then compositional changes can be expected. New phases
`such as precipitates or layered compounds may form from ensuing reactions,
`altering the initial film integrity. This, in turn, frequently leads to instabilities
`in the functioning of components and devices that are manifested by such
`effects as decrease in conductivity as well as short— or even open—circuiting of
`conductors, lack of adhesion, and generation of stress. The time it takes for
`such effects to evolve can be roughly gauged by noting that the diffusion length
`is given by ~ 2 x/D7 , where D and t are the appropriate diffusivity and time,
`respectively. Therefore t = d2 /4D. As we shall see, D values in films are
`relatively high even at low temperatures, so small film dimensions serve to
`make these characteristic times uncomfortably short. Such problems frequently
`surface when neighboring combinations of materials are chemically reactive.
`
`355
`
`Page 4 of 51
`
`

`

`356
`
`lnterdiffusicm and Reactions in Thin Films
`
`For example, consider the the pitfalls involved in designing a Cu—Ni film
`couple as part of the Contact structure for solar cells (Ref. 1). Readily available
`high-temperature data in bulk metals extrapolated to 300 °C yield a value of
`3.8 X lO‘24 cml/sec for the diffusion coefficient of Cu in Ni. For a 10003
`thick Ni film, the interdiffusion time is thus predicted to be (10‘5)2 /4(3.8 X
`10”“) sec, or over 200,000 years! Experiment, however, revealed that these
`metals intermixed in less than an hour. When colored metal films are involved,
`as they are here, the eye can frequently detect the evidence of interdiffusion
`through color or reflectivity changes. The high density of defects, e.g., grain
`boundaries and vacancies, causes deposited films to behave differently from
`bulk metals, and it is a purpose of this chapter to quantitatively define the
`distinctions. Indeed, a far more realistic estimate of the Cu-Ni reaction time
`can be made by utilizing the simple concepts developed in Section 8.2. Other
`examples will be cited involving interdiffusion effects between and among
`various metal film layer combinations employed in Si chip packaging applica-
`tions. Practical problems associated with making both stable contacts to
`semiconductor surfaces and reliable interconnections between devices have
`
`been responsible for generating the bulk of the mass-transport-related concerns
`and studies in thin films. For this reason,
`issues related to these extremely
`important subjects will be discussed at length.
`While interdiffusion phenomena are driven by chemical concentration gradi-
`ents, other mass-transport effects take place even in homogeneous films. These
`rely on other driving forces such as electric fields,
`thermal gradients, and
`stress fields, which give rise to respective electromigration, thermomigration,
`and creep effects that can similarly threaten film integrity. The Nernst—-Ein-
`stein equation provides an estimate of the characteristic times required for such
`transport effects to occur. Consider a narrow film stripe that is as wide as it is
`thick. If it can be assumed that the volume of film affected is ~ d3 and the
`
`mass flows through a cross—sectional area dz, then the appropriate velocity is
`d/ t. By utilizing Eq. 1-35, we conclude that tz RTd/DF. Large driving
`forces (F), which sometimes exist in films, can conspire with both small d and
`high D values to reduce the time to an undesirably short period. As circuit
`dimensions continue to shrink in the drive toward higher packing densities and
`faster operating speeds, diffusion lengths will decrease and the surface—area—
`to-volume ratio will increase. Despite these tendencies, processing tempera~
`tures and heat generated during operation are not being proportionately re-
`duced. Therefore,
`interdiffusion problems are projected to persist and even
`worsen in the future.
`
`In addition to what may be termed reliability concerns, there are beneficial
`mass~transport effects that are relied on during processing heat treatments in
`
`Page 5 of 51
`
`

`

`3.2. Fundamentals of Diffusion
`
`357
`
`films. Aspects of both of these broad applications will be discussed in this
`chapter in a fundamental way within the context of the following subjects:
`
`8.2. Fundamentals of Diffusion
`
`8.3. Interdiffusion in Metal Alloy Films
`8.4. Electromigration in Thin Films
`8.5. Metal—Serniconductor Reactions
`8.6. Silicides and Diffusion Barriers
`
`8.7. Diffusion During Film Growth
`
`Before proceeding, the reader may find the survey of diffusion phenomena
`given in Chapter 1 useful and wish to review it.
`
`8.2. FUNDAMENTALS or DIFFUSION
`
`8.2.1. Comparative Diffusion Mechanisms
`Diffusion mechanisms attempt
`to describe the details of atomic migration
`associated with mass transport through materials. The resulting atom move-
`ments reflect the marginal properties of materials in that only a very small
`fraction of the total number of lattice sites, namely, those that are unoccupied,
`interstitial, or on surfaces, is involved. An illustration of the vacancy mecha-
`nism for diffusion was given on p. 36. Similarly, the lattice diffusivity DL, in
`terms of previously defined quantities, can be written as
`
`~
`
`DL = Doexp ~ EL/RT,
`
`(8-1)
`
`where EL is the energy for atomic diffusion through the lattice on a per-mole
`basis. In polycrystalline thin films the very fine grain size means that a larger
`proportion of atom~defect combinations is associated with grain boundaries,
`dislocations, surfaces, and interfaces, relative to lattice sites, than is the case in
`bulk solids. Less tightly bound atoms at these nonlattice sites are expected to
`attract different point—defect populations and be more mobile than lattice
`atoms. Although the detailed environment may be complex and even varied,
`the time—averaged atomic transport
`is characterized by the same type of
`Boltzmann behavior expressed by Eq. 8-l. Most importantly, the activation
`energies for grain-boundary, dislocation, and surface diffusion are expected to
`be smaller than EL , leading to higher diffusivities. Therefore, such hetero-
`geneities and defects serve as diffusion paths that short-circuit the lattice.
`In order to appreciate the consequences of allowing a number of uncoupled
`transport mechanisms to freely compete, we consider the highly idealized
`
`Page 6 of 51
`
`

`

`Interdiffusion and Reactions in Thin Film
`
`Figure 8-1. Highly idealized polycrystalline film containing square grains, grain ,
`boundaries, and dislocations.
`
`polycrystalline film matrix in Fig. 8-1. Grain—boundary slabs of width 6 serve l
`as short—circuit diffusion paths even though they may only be 5-10 3 wide.
`e
`They separate square—shaped grains of side 1. Within the grains are dissociated ‘
`dislocations oriented normal to the film surface. They thread the latter with a -
`density pa, per cm2, and diffusion is assumed to occur through the dislocation
`core whose cross—sectional area is A d. Parallel transport processes normal to t
`the film plane are assumed to occur for each mechanism. Under these .
`conditions, the number of atoms (fti) that flow per unit time is essentially equal
`to the product of the appropriate diffusivity (D,-), concentration gradient V
`( dc / dx) ,, and transport area involved. Therefore,
`
`Lattice:
`Grain Boundary:
`Dislocation:
`
`.
`
`dx L
`
`dx 1,
`
`,
`r'zL = DL12(£)
`,
`rib = <5Dbl(£i£)
`rid = AdDdlzpd ( 3-) ,
`
`dc
`X L!
`
`where L, b and d refer to lattice, grain-boundary, and dislocation quantities.
`The importance of short—circuit mass flow relative to lattice diffusion can be
`quantitatively understood in the case of face—centered cubic metals where data
`for the individual mechanisms are available. A convenient summary of result—
`ing diffusion parameters is given by (Ref. 2)
`Lattice:
`DL ~ 0.5 exp — l7.OTM/Tcmz/sec,
`Grain
`
`(8-3a) .i
`
`Boundary :
`
`5D,, at 1.5 X lO”3exp — 8.9TM/Tcm3/sec,
`
`(8-3b)
`
`Dislocation: AdDd z 5.3 X l0’15exp —— l2.5TM/T cm4/sec.
`
`(8—3c)
`
`Page 7 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`These approximate expressions represent average data for a variety of FCC
`[I metals normalized to the reduced temperature T/ TM, where TM is the melting
`point. As an example, the activation energy for lattice self—diffusion in Au is
`p, easily estimated through comparison of Eqs. 8-] and 8-3a, which gives
`'1 EL /RT = l7.0TM/T. Therefore, EL = l7.ORTM or (l7.0)(1.99 cal/mole-
`} K)(l336 K) = 45,200 cal /mole. As a first approximation,
`the preceding
`equations can be assumed to be valid for both self- and dilute impurity
`Z diffusion. Generalized Arrhenius plots for D,_ as a function of TM/ T have
`I already been introduced in Fig. 5-6 for metal, semiconductor, and alkali halide
`I matrices.
`
`Regimes of dominant diffusion behavior, normalized to the same concentra-
`
`tion gradient, can be mapped as a function of I and p d by equating the various
`iz, in Eq. 8-2. The equations of the boundary lines separating the operative
`transport mechanisms are thus
`
`l_ D1.
`1
`50b’
`
`D1.
`p :
`" AdDd’
`
`and
`
`1
`
`AdDdPd
`5D,,
`
`'
`
`These are plotted as ln 1/] versus pd in Fig. 8-2 at four levels of T/ TM,
`
`IIIIIIIIIIII
`
`[_T/TM=o.5,.-.. _-~,
`1
`E
`
`I iIIIIIIII
`
`E_|
`T/TM=O.3 ,._-_- 7
`I
`
`|'l|1|"T‘i| ._._.._...__...._
`
`U’
`
`024-53iOl202468|O|2
`
`Log ;%(cm'3)
`Log %(o'n'3)
`Figure 8-2. Regimes of dominant diffusion mechanism in FCC metal films as a
`function of temperature. (Reprinted with permission from Elsevier Sequoia, S.A. from
`R. W. Balluffi and J. M. Blakely, Thin Solid Films 25, 363, 1975).
`
`Page 8 of 51
`
`

`

`360
`
`lnterdiffusion and Reactions in Thin Films 1'
`
`employing Eqs. 8~3a, b, c. The broken rectangles represent the range of thin
`film values for l and ,0d that occur in practice. For typical metal films with a V
`grain size of 1 pm or less, grain-boundary diffusion dominates at all practical
`temperatures. Similarly, for dislocation—free epitaxial films where 1/! = 0,
`lattice diffiision dominates. Transport at these extremes is intuitively obvious.
`Where the film structure is such that combinations of mechanisms are opera-
`tive, different admixtures will occur as a function of temperature. Generally,
`lower temperatures will favor grain boundary and dislocation short—circuiting 7
`relative to lattice diffusion.
`
`V
`
`Surface diffusion is another transport mechanism of relevance to thin films 7
`because of the large ratio of the number of surface—to-bulk atoms. As noted in
`Chapter 5,
`this mechanism plays an important role in film nucleation and
`
`T (‘‘C)
`43001200 M00 1000 900
`
`T (‘’C)
`1200 M00 4000 900
`
`48V
`29V
`39V
`
`56V
`
`E3\
`N
`
`E3Q
`
`, I-2
`
`E9u
`
`.u.
`‘<30
`
`29c
`
`o
`:3
`LI.
`Eo
`
`0.7
`
`0.8 0.85
`
`0.65 0.7
`
`I000/T (K-1)
`1000/T u<")
`Figure 8-3. Diffusion coefficients of various elements in Si and GaAs as a function
`of temperature. (Reprinted with permission from John Wiley and Sons, from S. M.
`Sze, Semiconductor Devices: Physics and Technology, Copyright © 1985, John
`Wiley and Sons).
`
`Page 9 of 51
`
`

`

`G 8.2. Fundamentals of Diffusion
`
`361
`
`growth processes. Reduced parameters describing measured surface transport
`in FCC metals have been suggested (Ref. 3); e. g.,
`
`D3 z 0.0l4exp —-
`
`6.54T
`T M cmz/sec
`
`T
`for -74 > 1.3.
`
`It is well known, however, that surface diffusion varies strongly with ambient
`,1 conditions, surface crystallography, and the nature and composition of surface
`and substrate atoms.
`Systematics similar to those depicted in Fig. 8-2 also govern diffusion
`G behavior in ionic solids and semiconductors where grain boundaries and
`:5. dislocations are known to act as short-circuit paths. However, complex space-
`charge effects in ionic solids make a clear separation of lattice and grain-
`boundary diffusion difficult in these materials. In semiconductors a great deal
`of impurity diffusion data exists, and these are used in designing and analyzing
`doping treatments for devices. This is a specialized field, and complex
`i modeling (Ref. 4) is required to accurately describe diffusion profiles. Due to
`.
`the importance of Si and GaAs films, preferred lattice dopant diffusion data are
`1 presented in Fig. 8—3 (Ref. 5). Some very recent data on diffusion of noble
`metals Au, Ag, and Cu in amorphous Si films interestingly reveals that the
`activation energy for diffusion in the disordered matrix is very similar to
`i values obtained for lattice diffusion in crystalline Si. (Ref. 6).
`
`L 8.2.2. Grain-Boundary Diffusion
`
`T Of all the mass-transport mechanisms in films, grain—boundary (GB) diffusion
`has probably received the greatest attention. This is a consequence of the rather
`small grain size and high density of boundaries in deposited films. Rapid
`p diffusion within individual GBs coupled with their great profusion make them
`the pathways through which the major amount of mass is transported. Low
`diffusional activation energies foster low-temperature transport, creating seri-
`ous reliability problems whose origins can frequently be traced to GB involve-
`ment. This has motivated the modeling of both GB diffusion and phenomena
`related to film degradation processes.
`The first treatment of GB diffusion appeared nearly 40 years ago. The
`Fisher model (Ref. 7) of GB diffusion considers transport within a semi-in-
`finite bicrystal film initially free of diffusant, as shown in Fig. 8-4. A diffusant
`whose concentration C0 is permanently maintained at plane y = 0 diffuses
`into the GB and the two adjoining grains. At low temperatures in typical
`polycrystalline films, it is easily shown that there is far more transport down
`
`Page 10 of 51
`
`

`

`lnterdiffusion and Reactions in Thin Films
`
`/CO ///
`
`c i
`
`Figure 8-4. Representation of diffusional penetration down a grain boundary (y
`direction) with simultaneous lateral diffusion into adjoining grains (x direction).
`
`the GB than there is into the matrix of the grains. The ratio of these two fluxes
`can be estimated through the use of Eqs. 8-2 and 8-3 for FCC metals; i.e.,
`
`6D,,
`izb
`r'zL_lDL_
`
`3 x10'8
`1
`
`exp
`
`8.1 TM
`T
`
`Assuming I: 10*“ cm and T/T = 1/3, we have rib/fl, = 1.1 X 107. For
`this reason, we may envision transport to consist primarily of a deep rapid
`penetration down the GB from which diffusant subsequently diffuses laterally
`into the adjoining grains, building up the concentration level there. This is
`shown schematically in Fig. 8-4 and described mathematically by
`1/2
`
`,
`
`(8—4)
`
`—-—-——€——)
`CL<’“”’> = C0“? ‘ (513 m
`
`b
`
`2t/D
`
`x
`
`y-erfc
`
`7257
`
`L
`
`where C,_( x, y, t) is the diffusant concentration at any position and time.
`The Fisher analysis of the complex, coupled GB-lattice diffusion process
`yields simplified decoupled solutions——an exponential diffusant profile in the
`GB and an error function profile within adjoining grains. Experimental verifi-
`cation of Eq. 8-4 is accomplished by measurement of the integrated concentra— -
`tion 5 within incremental slices A y thick (e.g., by sputtering) normal to the
`y = 0 surface; i.e.,
`
`T
`
`E ’ I C,r,(X, J’. t) dxAy = const e‘(2\/13;/‘5Db\/T7)‘/2’.
`'—oo
`
`(8-5)
`
`Page 11 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`The last equation suggests that a plot of ln 5 versus y is linear. Therefore, the
`‘ useful result
`
`5D
`
`1.0
`1’ ” w;
`
`dy
`
`dln5 ‘Y
`the value of DL in the same
`in order to obtain 6Db,
`I emerges. However,
`; system must be independently known. This poses no problem usually, since
`A. lattice diffusivity data are relatively plentiful. Exact, but far more complicated,
`integral solutions, that are free of the simplifications of the Fisher analysis,
`7f have been obtained by Whipple (Ref. 8) and Suzuoka (Ref. 9). A conclusion,
`, based on these analyses, that has been extensively used is
`
`50, = —O.66
`
`dln5 ”5’3(4DL)‘/2
`dys/5
`t
`
`(8-7)
`
`_
`
`f;
`l
`
`Apart from overriding questions of correctness, the difference between Eqs.
`8-6 and 8-7 is that ln 5 is plotted versus y in the former and versus y‘’/5 in
`the latter. Frequently, however, the experimental concentration profiles are not
`sufficiently precise to distinguish between these two spatial dependencies. It
`:7 does not matter that actual films are not composed of bicrystals, but rather
`polycrystals with GBs of varying type and orientation; the general character of
`the solutions is preserved despite the geometric complexity. A schematic
`representation of equiconcentration profiles in a polycrystalline film containing
`an array of parallel GBs is shown in Fig. 8-5. At elevated temperatures the
`extensive amount of lattice diffusion masks the penetration through GBs. At
`the lowest temperatures, virtually all of the diffusant is partitioned to GBs. In
`F“ between,
`the admixture of diffusion mechanisms results in an initial rapid
`F“ penetration down the short-circuit network, which slows down as atoms leak
`Q
`into the lattice. The behaviors indicated in Fig. 8-5 represent the so~called A-,
`. B—, and C-type kinetics (Ref. 10). Polycrystalline film diffusion phenomena
`; have been studied in the B to C» range for the most part. Excellent reviews of
`
`t\\\\\\\\\\\\\\‘_
`
`7
`
`_..&m\\\\\\\\\\\
`
`.«’
`
`4
`i
`
`A KINETICS
`
`B KINETICS
`
`C KINETICS
`
`F.
`
`Schematic representation of type A (highest-temperature), B, and C
`— Figure 8-5.
`V
`(lowest—temperan1re) diffusion kinetics. (From Ref. 10).
`
`Page 12 of 51
`
`

`

`364
`
`Interdiffusion and Reactions in Thin Films
`
`the mathematical theories of GB diffusion including discussions of transport in
`these different temperature regimes, and applications to thin-film data are
`available (Refs. 11, 12). The best general source of this information is the
`volume Thin Films——Inz‘erdiffusion and Reactions, edited by Poate, Tu, and L
`Mayer (Ref. 12) which also serves as an authoritative reference for much of
`the material discussed in this chapter. This book also contains a wealth of
`experimental mass transport data in thin—fllm systems.
`The experimental measurements of the penetration of radioactive ‘95Au into
`epitaxial (Fig. 8-6a) and polycrystalline (Fig. 8—6b) Au films provide a test of
`the above theories. They also importantly illustrate how the spectrum of
`diffusion behavior can be decomposed into the individual component mecha-
`nisms through judicious choice of film temperature and grain size. These data —
`
`were obtained by incrementally sputter-sectioning the film, collecting the ;
`removed material in each section, and then counting its activity level. Very low p
`
`- 352°c,2_:e x :04 sec
`~ 325°C, 4.39 x :0“ sec
`A 247.5°C, L82 x I06 sec
`o 295.4°c, 6.82 no“ see
`o 27s.o°c, 3.456 x :05 sec
`
`3[
`
`2zD
`>-
`0:
`
`<c
`
`:Ca
`
`n
`on
`
`S>
`
`-CZl
`
`—-
`o<1
`
`2EQL
`
`UCL(1')
`Ln
`93
`<1
`
`IO
`
`I5
`
`50
`45
`40
`35
`30
`25
`20
`PENETRATlON DISTANCE (1o'5 cm)
`
`55
`
`60
`
`65
`
`70
`
`Figure 8-6a. Diffusional penetration profiles of 195Au in (001) epitiaxial Au films at ,:
`indicated temperatures and times. Lattice and dislocation diffusion dominate. (From
`Ref. 13).
`
`Page 13 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`PENETRATION DISTANCE (Io“‘cm)
`I
`I
`'
`’
`0.2
`0.4
`Q6
`0.8
`I._o, o.o QOIS
`I -7
`|
`>
`
`0
`
`Y‘
`
`
`
`Au'95sI=EcIFIcACTIVITY(ARBITRARYUNITS)
`
`
`
`
`
`2
`
`BLANK RuN\j
`V°“”’Wmvvvv~V-v-wqifiiiggMIN.)
`itI
`.imm,..,-.,\a\afi°c (I MIN.)
`\_
`I-x.

`x
`~;—n-law?‘ <I37°c (I MIN.)
`‘\
`**** *ee«x-4.- _
`«is I-—as-*-,.‘*_*_*_/4-3Z:C (10 MIN.)
`AA%
`in
`’
`._
`‘°*~=~M..‘M_A[I27°c (Io MIN.)
`A‘n_A_A._
`
`°«o~u-lo.o.n.o.°-o_°_o_°J°<_‘Jg.T°C (IO MIN)
`‘f°°‘<><>o<>o00O0o_[l 17°C (10 MIN.)
`o~o_.<,_o___o_
`
`:
`
`II«lid
`
`II’t'ni'il1Iin-Ii
`
`I(i]IUJ.|i_.LJ.iuiI
`
`i
`o.o o.oI
`I.
`l.4
`1.2
`o 0.2 0.4 0.6 0.8 L0
`TRACER PENETRATION DISTANCI-26/5
`(lO'5cm6/5)
`
`Figure 8-6b. Diffusional penetration profiles of 195Au in polycrystalline films at
`indicated temperatures and times. Only GB diffusion is evident.
`(Reprinted with
`V
`if permission from Elsevier Sequoia, S.A., From D. Gupta and K. W. Asai, Thin Solid
`'. Films 22, 121, 1974).
`
`; concentration levels can be detected because radiation—counting equipment is
`i quite sensitive and highly selective. This makes it possible to measure shallow
`profiles and detect penetration at very low temperatures. The epitaxial film
`i data display Gaussian—type lattice diffusion for the first 1000 to 1500 K,
`* followed by a transition to apparent dislocation short—circuit transport beyond
`this depth. Rather than high~angle boundaries, these films contained a density
`II of some 101° to 10" dissociated dislocations per crnz. On the other hand,
`pi extensive low—temperature GB penetration is evident in fig. 8-6b without much
`lattice diffusion. The large differences in diffusional penetration between these
`two sets of data, which are consistent with the systematics’ illustrated in Fig.
`8-2, should be noted. For epitaxial Au a mixture of lattice and dislocation
`diffusion is expected for pd -~ 101°/cm2 at temperatures of ~ O.4TM. Only
`GB diffusion is expected, however, at temperatures of ~ 0.3TM for a grain
`size of 5 X 10’5 cm, and this is precisely what was observed.
`
`Page 14 of 51
`
`

`

`366
`
`lnterdiifusion and Reactions in Thin Films
`
`8.2.3. Diffusion in Miscible and Compound-Forming Systems
`
`It is helpful to initiate the discussion on diffu~
`8.2.3.1. Miscible Systems.
`sion in miscible systems by excluding the complicating effects of grain
`boundaries. Bulk materials contain large enough grains so that the influence of
`GBs is frequently minimal. For thin films a couple where both layers are single
`crystals (e.g., a heteroepitaxial system) must be imagined. Under such condi-
`tions, the well-established macroscopic diffusion analyses hold. Upon interdif—
`fusion in miscible systems, there is no crystallographic change, for this would
`imply new phases. Rather, each composition will be accessed at some point or
`depth within the film as a continuous range of solid solutions is formed. When
`the intrinsic atomic diffusivities are equal,
`i.e., DA = DB,
`the profile is
`symmetric and Eq. 1-27a governs the resultant diffusion. On the other hand, it
`is more common that DA =# DB, so A and B atoms actually migrate with
`unequal velocities because they exchange with vacancies at different rates.
`As an example of a miscible system, consider the much-studied Au—Pd
`polycrystalline thin-film couple in Fig. 8-7 (Ref. 15). Both AES sputter
`sectioning and RBS methods were employed to obtain the indicated profiles,
`whose apparent symmetry probably reflects the lack of a strong diffusivity
`dependence on concentration.
`It
`is very tempting to analyze these data by
`fitting them to an error-function~type solution. Effective diffusivity values
`could be obtained, but they would tend to have limited applicability because of
`the heterogeneous character of the film matrix. It must not be forgotten that
`
`O
`
`if
`

`
`CO0
`
`
`
`PdCONCENTRATION(ATOMIC%)
`
`-.
`O
`
`. BACK SCATTERING
`
`A
`§§ AES
`
`.90 MRS '
`200 HRS
`
`V
`
`o
`
`I
`
`_
`
`v
`u
`
`V
`'
`\ '
`u
`o
`'
`
`_
`
`I
`
`_
`
`r
`I
`1400 16.00
`
`1200
`
`1800 2000
`
`.,
`DISTANCE FROM SURFACE (A)
`Figure 8-7. Palladium concentration profiles in a Au—Pd thin-film diffusion couple 7,
`measured by RBS and AES techniques.
`(Reprinted with permission from Elsevier
`Sequoia, S.A., from P. M. Hall, J. M. Morabito and J. M. Poate, Thin Solid Films
`33, 107, 1976).
`
`:
`
`Page 15 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`367
`
`the
`the dominant mechanism in this couple. Therefore,
`G GB diffusion is
`appropriate GB analysis is required in order to extract fundamental transport
`parameters. With this approach, it was found that a defect-enhanced admixture
`i of GB and lattice diffusion was probably responsible for the large changes in
`the overall composition of the original films. Diffusional activation energies
`obtained for this film system are typically 0.4 times that for bulk diffusion, in
`accord with the systematics for GB diffusion.
`
`8.2.3.2. Compound-Forming Systems. Many of the interesting binary
`combinations employed in thin-film technology react
`to form compounds.
`Since the usual configuration is a planar composite structure composed of
`elemental films on a flat substrate,
`layered compound growth occurs. The
`concentration—position profile in such systems is schematically indicated in
`Fig. 88. Each of the terminal phases is assumed to be in equilibrium with the
`intermediate compound. The compound shown is stable over a narrow rather
`than broad concentration range. Both types of compound stoichiometries are
`observed to form. With time,
`the compound thickens as it consumes the oz
`phase at one interface and the B phase at the other interface.
`It is instructive to begin with the simplified analysis of the kinetics of
`compound growth based on Fig. 8-8. Only the 1 phase (compound) interface
`in equilibrium with at is considered. Since A atoms lost from 0: are incorpo-
`rated into 7, the shaded areas shown are equal. With respect to the interface
`
`yCOMPOUND
`i<-~Lo~—-—-> X7
`
`Figure 8-8. Depiction of intermediate compound formation in an A~B diffusion
`couple. Reaction temperature is dotted in on phase diagram.
`
`Page 16 of 51
`
`

`

`368
`
`lnterdiflusion and Reactions in Thin Films
`
`moving with velocity V, the following mass fluxes of A must be considered:
`
`flux into interface = COCV — D4
`
`dc, )
`
`dx mt-
`
`flux away from interface = Cy V.
`
`These fluxes remain balanced for all times, so by equating them we have
`
`dX
`V: _ =
`dt
`
`D dCa a’
`oz(
`/26)’
`C, — C7
`
`(H)
`
`where X is the compound layer thickness. From the simple geometric
`construction shown, dCa / dx can be approximated by (CA — Ca)/LO. There-
`fore as growth proceeds, L0 increases while V decreases. If the shaded area
`within the 01 phase can be approximated by (1 /2) L0(CA — Ca), and this is set
`equal to C,/X,
`then L0 = ZCYX/(CA —— Ca). Substituting for dC<, /dx in
`Eq. 8-8 leads to
`
`(CA — C11)2
`Do:
`dX
`dz‘ " 2X (c,,— C7)C '
`
`'
`
`Upon integrating, the 01-7 portion of the compound layer thickness is obtained
`as
`
`[D,,(c,, — C,,)2]'/2:1/2
`X= .
`“Cot ' C'y)C*ri
`/
`
`(3-10)
`
`A similar expression holds for the B—'y interface, and both solutions can be
`added together to yield the final compound layer thickness X7; i.e.,
`
`X7 = const :1/2.
`
`(8-11)
`
`The important feature to note is that parabolic growth kinetics is predicted.
`Thermally activated growth is also anticipated, but with an effective activation
`energy dependent on an admixture of diffusion parameters from both or and ;3
`phases.
`
`Among the important and extensively studied thin-film compound—forming
`systems
`are Al~Au (used in interconnection/contact metallurgy)
`and
`metal—silicon (used as contacts to Si and SiO2); they will be treated later in the
`chapter. Parabolic growth kinetics is almost always observed in these systems.
`When diffusion is sufficiently rapid; however, growth may be limited by the
`speed of interfacial reaction. Linear kinetics varying simply as t then ensue,
`
`Page 17 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`369
`
`times. For longer times linear growth gives way to
`but only for short
`diffusion-controlled parabolic growth.
`
`8.2.4. The Kirkendall Effect
`
`The Kirkendall effect has served to illuminate a number of issues concerning
`solid—state diffusion. One of its great successes is the unambiguous identifica—
`tion of vacancy motion as the operative atomic transport mechanism during
`interdiffusion in binary alloy systems. The Kirkendall experiment requires a
`diffusion couple with small inert markers located within the diffusion zone
`between the two involved migrating atomic species. An illustration of what
`happens to the marker during thin-film silicide formation is shown in Fig. 8-9.
`Assuming that metal (M) atoms exchange sites more readily with vacancies
`than do Si atoms, more M than Si atoms will sweep past the marker. In effect,
`more of the lattice will move toward the left! To avoid lattice stress or void
`
`generation, the marker responds by shifting as a whole toward the right. ‘The
`reverse is true if Si is the dominant migrating specie. Such marker motion has
`indeed been observed in an elegant experiment (Ref. 16) employing RBS
`methods to analyze the reaction between a thin Ni film and a Si wafer.
`Implanted Xe, which served as the inert maker, moved toward the surface of
`
`Si DIFFUSION
`
`V/
`
`W M
`
`ARKERM...»
`METAL DIFFUSION
`
`Schematic of Kirkendall marker motion during silicide formation. (Re~
`Figure 8-9.
`printed with permission from John Wiley and Sons, from J. M. Poate, K. N. Tu and J.
`W. Mayer, eds., Thin Films: Irzterdiffusion and Reactions, Copyright © 1978, John
`Wiley and Sons).
`
`Page 18 of 51
`
`

`

`370
`
`lnterdiffusion and Reactions in Thin Films
`
`the couple during formation of Ni2Si. The interpretation, therefore, is that Ni
`is the dominant diffusing specie.
`
`8.2.5. Diffusion Size Effects (Ref. 17)
`
`A linear theory of diffusion has been utilized to describe the various transport
`effects we have considered to this point and, except for this section, will be
`assumed for the remainder of the thin—f1lm applications in this book. The
`macroscopic Fick diffusion equations defined by Eqs. 1-21 and 1-24 suffice as
`an operating definition of what is meant by linear diffusion theory. There are,
`however, nonlinear diffusion effects that may arise in thin—f1lm structures when T
`relatively large composition changes occur over very small distances (e.g.,
`superlattices). To understand nonlinear effects, we reconsider atomic diffusion
`between neighboring planes in the presence of a free—energy gradient driving
`force. As a convenient starting point, two pertinent equations (1-33 and 1-35)
`describing this motion are reproduced here:
`
`GD ‘ AG
`rN = 21: exp — fislmfi,
`
`v = DF/RT.
`
`(3-12)
`
`(8-13)
`
`An expansion of sinh(AG / R T) yields
`
`RT
`
`3! RT
`
`5! RT
`
`(8-14)
`
`7
`
`sinh
`
`RT
`
`AG _ AG +i(AG)3+1(AG)5
`Under conditions where AG / RT < l, the higher-order terms are small com— I
`pared with the first, which is the source of the linear effects expressed by the
`Nernst—Einstein equation. Linear behavior is common because the lattice i
`cannot normally support large energy gradients.
`Now consider nonideal concentrated alloys where the free energies per atom
`or chemical potentials, p.,-, at nearby planes 1 and 2 are defined by (Eq. 1~9)
`
`/1.1 = 14° + kTlna, = u° + kT1n'y1C1,
`
`(8~l5)
`M2 = ,5’ + kT1n dz = ,l‘’ + /(Tin 7202.
`Here [L = G /NA, NA is Avogadro’s number, the activity a is defined by the
`product of the activity coefficient 7 and concentration C, and it” is the .7;
`chemical potential of the specie in the standard state. The force on an atom (f)
`if
`is defined by the negative spatial derivative of [LI
`/*‘2—i"'l
`
`f=_—‘z
`dx
`
`9
`
`N,a0
`
`Page 19 of 51
`
`

`

`8.2. Fundamentals of Diffusion
`
`I
`
`and the force on a mole of atoms is
`
`If F is also defined as 2 AG / a0 (Eq. 1-35), then
`
`F = NA f.
`
`_
`
`,
`
`846
`
`1“('Y2C2 /7101)
`“OF
`AG
`—-—=
`)
`(
`2N,
`2RT
`RT
`where N, is the number of lattice spacings (an) included between planes 1 and
`2. The ratio 'y2 C2 /71C, typically ranges from 10 to l03. In conventional thin
`films, N, > 100, so AG/RT is small compared with unity. Only the first
`‘
`term in the expansion of sinh(AG/RT) need be retained, which, as noted
`.
`.. earlier, defines diffusion in the linear range.
`Imagine now what happens when N, is about 5 to 10 so that film dimensions
`T’ of only 10-20 A are involved. At the highest values of 1/2C2 /'y,C', ,
`the
`1 quantity AG / RT is approximately unity. The higher-order terms in Eq. 8-14
`: can no longer

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket