throbber
INTEL 1015
`
`

`
`Marc Heyns (editor)
`Marc Meuris & Paul Mertens (co—editors)
`
`Proceedings of
`the Second International Symposium on
`
`U1tra—-Clean Processing of
`Silicon Surfaces
`
`(UCPSS ’94)
`
`
`
`Acco Leuven / Amersfoort
`
`
`
`

`
`Conference organized by IMEC
`
`Firxt Edition : 1994
`
`TW. 250.000
`32 012 3262
`
`Published by Uitgeverij Aeeo, Tiensestraat 134136, 3000 Leuven (Belgie)
`Fort/1e Netherlands : Postbus 1285, 3800 BG Amersfoorl / Hamersveldseweg 10a, 3833 GP Leusden
`
`© 1994 by Acco (Academische Cooperalief c.v.), Leuven, (Belgié)
`
`No part of this book may be reproduced in any form, by mimeograph, film or any other means without
`permission in writing from the publisher.
`
`D/1994/0543/216
`
`NUGI 841
`
`ISBN 90-334-32625
`
`

`
`
`
`Table of Contents
`
`Preface
`
`Marc Heyns
`
`Trends in Wafer Cleaning Technology
`Takes/ti Haztori
`
`Keynote Presentation
`
`
`
`Recipes to Avoid Particle Contamination during Wet Cleaning Processes
`L. Mouclze, B. Beneyton, C. Paillet, JP. Joly, F. Tardij", D. Levy,
`K Bzzrla, P. Patruno, A. Tonti and W. Sievert
`
`Summary of HF—Last Wet Processing Using Direct—Displacernent
`Technology
`G.N. DiBell0, S.T. Bay, C.F. McConnell, J.W. Parker and EA. Cheney
`
`The Impact of Integrated Pre—cleans on Gate Oxide Integrity
`S. O’Brien, G. Brown and C. Tiplon
`
`Marangoni Drying : a New Concept for Drying Silicon Wafers
`R. Schild, K Locke, M Kozak and MM Heyns
`
`Particle Removal Efficiency from Native Oxides Using Dilute SC—1
`Megasonic Cleaning
`S.L. Cohen, W. Syverson, S. Basiliere, M]. Fleming, B. Furman, C. Gow,
`K Pope, R Tsai and M Liehr
`
`Metal Removal without Particle Addition : Optimization of the Dilute
`HCI Clean
`
`T.Q. Hard, P.W. Mertens, L.H. Hall and MM Heyns
`
`Diagnostics of Process—Hygiene in Large Scale Si-Manufacturing Using
`Trace-Analytical Tools
`L. Fabry, L. Késler, S. Pahlke, L. K012 and J. Hage (Invited)
`
`Ultra—Trace Metal Analysis of Si Wafer Surfaces Using Synchroton
`Radiation
`
`A. Fzlscher—Colbn'e, S. S. Laderman, S. Brennan, N. Takaura, P. Pianetta,
`A. Shimazaki, K Miyazaki, J. Kortright, D. C. Wherry
`
`Quantitative Depth Analysis of Ultratrace Elements in Silicon Wafers
`M Takenata, M. Hayashi, H. Mazsunaga, Y Honma, A. Kubota and
`Y Matsushita
`
`Characterisation of Oxides and Thin Films Using a Novel Scanning
`Kelvin Probe
`
`I.D. Baikie, G.H. Bmggink and S. Rival
`
`23
`
`27
`
`35
`
`47
`
`57
`
`65
`
`TW. 250.000
`32 012 3262
`
`a, 3833 GP Leusden
`
`any other means without
`
`ISBN 90—334~3262—5
`
`
`
`

`
`
`
`69
`
`75
`
`79
`
`83
`
`87
`
`91
`
`95
`
`99
`
`6 R
`
`eoxidation Kinetics of I-lF—Etched Si(100), Si(110) and Si(111) Surfaces
`in Air
`J.T. Beeclzinor, P.V Kelly, G.M. O’Connor and G.M Crean
`
`A Comparative Study of Measurements of Roughness of Silicon and
`SiO2 Surfaces and Interfaces Using Scanning Probe Microscopy, Neutron
`and X—Ray Reflectivity
`A. Crossley, C.J. Sofield, J. Gofi‘, A. C.I. Lake, MT Hutc/tings, A. Menelle
`and MP. Mwrell
`
`In situ Monitoring of the Effect of Oxygen and Hydrogen Plasmas on the
`Passivation Level of Silicon Surfaces
`H. Li, EA. Ogozlo and JG. Cook
`
`Effect of Dynamical Plasma Cleaning on Si-SiO2 Structures
`V../VI. Maslovsky and GK Pavlov
`
`Adsorption and Desorption Studies of U-238 on Silicon Surfaces
`G. Mainka, S. Metz, A. Fester, H. Ochs and B. O. Kolbesen
`
`A UHV Compatible Plasma Chemical Cleaning Procedure for Low
`Temperature Epitaxial Growth on Patterned Silicon Substrates
`E. Beck, A. Dommann, I. Eisele, W. Hansch, N. Komer, D. Kniger
`and J. Ramm
`
`Soft Cleaning by in Vacuo Ultraviolet Radiation before MBE
`G. Lzppert and HJ. Osten
`
`The Effect of Various Processing and Hardware Parameters on the
`Decomposition of H202 in APM
`A. Philipossian and R. Wilkinson
`
`SCA Determination of Charges in Oxide after Metallic Contamination
`K Barla, F. Tardij", D. Walz and C. d’/lssenza
`
`103
`
`
`
` Calibration of TXRF Equipment
`107
`J. Knotlz, H. Schwenke and P. Eichinger
` Transfer Behavior of Metallic Contaminants from Solutions to Wafers
`U. Keller, W Aderhold and EP. Burte
`
`
`
`
` Low Temperature Oxides Deposited by Remote Plasma Enhanced CVD
`L. -Ac. Ragnarsson, S. Bengtsson, MO. Andersson and U. Sodervall
` H20 Microcontamination Generated by Reaction between Anhydrous
`
`HBr Gas and Transition Metal Oxides
`121
`
`A. Boireau, H. Chevrel, N. Uchida, K Miyazaki, E. Ozawa and [M Fried!
`U.V. Activated Cleaning Using NO, HCl and NO/HCl
`125
`C. Elsmore, R Gluck, P. Carr, M. Meuris, P.W. Menfens and MM Heyns
`
`
`
`
`
`
`
`

`
`The Influence of Different Si(100) Surface Cleaning Procedures on
`Residual Contamination and Some Electrical Properties
`K Blum, G. Lzppert, R. Sarge, D. Krliger and K Héppner
`
`MOS Generation Lifetime for Measuring Metal Contamination in Silicon
`D. Walz, J.P. Joly and F. Tardzf
`'
`
`Effect of Different Chlorine Sources during Gate Oxidation
`B. Vermeire, P. W. Mertens, M.J. McGeary, K Kemls, M.M. Heyns,
`M. Schaekers and A. Lubbers
`
`Kinetics and Morphology of Copper Deposition on Hydrogen—Passivated
`Silicon Surfaces from Dilute HF Solutions
`
`J.A. Sees, L.H. Hall, 0.M.R Chyan, J.-J. Chen and H.)’. Chien
`
`A New Wet Cleaning Strategy
`M Jolley
`
`Characterization Methods of cSi/aSi Interface for Heterojunction Solar Cells
`F. Roca, D. Della Sala, G. Fameli, P. Grillo and F. Pascarella
`
`The Impact of Ca, Cu, Zn Silicon Surface Contamination on the Yield of a
`MOS DRAM Test Process
`
`W.R. Aderhold, N. Streckfufl, E.P. Bu.-1e and U. Keller
`
`UV/Ozone Pre-Treatment on Organic Contaminated Wafer for Complete
`Oxide Removal in HF Vapour Cleaning
`L. Li, J. Alay, P.W. Mertens, M. Meunls, W Vandervorst, M.M. Heyns,
`R. de Blank and E. Schuivens
`
`Improvement and Evaluation of Drying Techniques for HF-Last Wafer
`Cleaning
`L. Li, G. Zou, H. Bender, P.W. Mertens, M. Meuris, H.F. Schmidt and
`MM. Heyns
`
`Quantification Issues for VPD/TXRF
`R.S. Hockett, J.M. Metz and S. Tan
`
`Elimination of HF-Last Cleaning Related CoSi2 Defects Formation
`G. Zou, F. Jonckx, R. Donaton, W Kiiper, K Maer, P. W Menens, M. Meuris,
`M.M. Heyns, K Locke, M. Korac and R. Schild
`
`The Use of Deep Ultraviolet Photons to Remove Surface Contaminants
`A.C. Engelsberg
`
`UV-Enhanced Etching of Silicon Oxide by Chlorine Trifluoride
`C.F. Hiatt, J.W. Butterbaugh and D.C. Gray
`
`A New Method for In-Line, Real-Time Monitoring of Wafer Cleaning
`Operations
`E. Kamieniecki, P. Roman, D. Hwang and J. Ruzyllo
`
`131
`
`139
`
`143
`
`147
`
`151
`
`155
`
`159
`
`163
`
`167
`
`171
`
`177
`
`181
`
`185
`
`189
`
`

`
`
`
`
`193
`
`8 S
`
`i Surface Charge Imaging Using a High Resolution Scanning Kelvin Probe
`G.H. Braggink and I.D. Baikie
`
`
`
`Recombination Activity of Iron—Related Complexes in Silicon Studied
`with Microwave and Light-Induced Absorption Techniques
`A. Kaniava, A.L.P. Rotondaro, J. Vanhellemonz, E. Simoen, E. Gaubas,
`J. Vaitkus, T.Q. Hurd, PW Mertens, C. Claeys and D. Graf
`
`197
`
`
`
`
`
`
`
`
`
`
`Improved Rinsing Efficiency after SPM (H2804/H202) by Adding HP
`S. Verhaverbeke, R. Messoussi and T. Ohmi
`
`Fundamental Metallic Issues for Ultraclean Wafer Surfaces from Aqueous
`Solutions
`CR Helms, H.—S. Park, S. Dhanda, P. Gupta and M. Tran (Invited)
`
`Electrochemical Aspects of Noble Metals Related to Silicon Wafer
`Cleaning
`O.MR. Chyan, J.«J. Chen, HY Chien, L. Hall and J. Sees
`
`Metallic Particle Growth and Metal Induced Pitting (MIP) on Silicon
`Surfaces in Wet Processing and its Prevention
`H. Morinaga, M. Suyama, M. Nose, S. Verhaverbeke and T. Ohmi
`
`Metal Adsorption on Silicon Surfaces from Wet Wafer Cleaning Solutions
`G.J. Norga, KA. Black, H. M’Saad, J. Michel and LC. Kimerling
`
`A Novel Approach for Studying the Iron Absorption and Desorption
`Mechanisms on Silicon Surfaces during Wet Chemical Treatments
`H. Schdfer and K Budde
`
`201
`
`205
`
`217
`
`Recent Results of Ultraviolet—Initiated Processes for Cleaning and
`Etching of Silicon
`J. W Butterbaugh, DC. Gray, CF. Hiatz, H.H. Sawin and A.S. Lawing
`
`229
`
`
`
`Cleaning Performance of a Cryogenic Aerosol System
`P. Sferlazzo, A. Dart, B.K Libby, P.H. Rose, VV. Scheer and
`RG. van der Heide
`
` In-situ Rinse HF—Last for Pre-Epitaxy Cleaning
`P. Palruno, A. Fleur)», E. Andre and F. Tardif
`
`The Resurgence of Mechanical Brush Scrubbing
`WC. Kzusell and J. Pollick
`
`
`
`
`K Torek, J Ruzyllo and R. Grant
`
`
`In situ Remote Hydrogen Plasma Cleaned Si(100) for Gate Oxidation
`Formation : Correlation of Surface and Device Properties
`J.S. Montgomery, J.P. Bamak, H. Ying, J.R Hauser and R]. Nemanich
`
`255
`
`Etching of SiO2 with Anhydrous HF and Organic Solvent Vapors
`
`

`
`
`
`Physico Chemical Aspects of Hydrogen Peroxide Based Silioon Wafer
`Cleaning Solutions
`HF. Schmidt, M. Meuris, P. W. Mertens, A.L.P. Rotondaro, MM. Heyns,
`T.Q. Hard and Z. Hatcher (Invited)
`
`An HF-O3 Aqueous Solution for Silicon Wafer Cleaning
`Y Fukazawa, K Sanpei, T. Nakajima, K Takase and K Miyazaki
`
`Metal Addition of the RCA-1 Chemistry as a Function of Blend Ratio
`and Temperature
`K K Christenson, S. Smith and D. Werho
`
`TiN Etch Rate and H2O, Decomposition Studies in the H202/NH,,OH/H2O
`System
`A. Philipossian and J. Magana
`
`Reaction Limited Controlled Etch in Diluted HF Aqueous Solution
`with HNO3
`G. Seo, H. Kim, S. Kang, D. Kim, K Ryoo and P. Hong
`
`The Contrastive Behavior of COP/FP and SEP Defects in CZ Silicon
`Crystals
`T. Abe (Invited)
`
`Roughening during Wet Processing Studied by AFM of Stepped Surfaces
`S. Verhaverbeke, R. Messoussi and T. Ohmi
`
`Pitting on Wafers by Ag Trace in Diluted HP
`D. Lévy, P. Patruno, L. Mouche and F. Tardif
`
`AFM Characterization of Thermal Oxide Formed on Atomically Flat
`Si(l11) Surfaces
`M. Fukuda, C.H. Bjorkman, T. Yamazaki, S. Miyazaki and M. Hirose
`
`Interaction of the Sulphuric Acid Hydrogen Peroxide Mixture with
`Silicon Surfaces
`A.L.P. Rotondaro, H.F. Schmidt, M. Meuris, M.M. Heyns, C. Claeys
`and J. Mulready
`
`Different Reaction of O2 and Oxygen Radicals with Si under Critical
`Conditions for Growth of SiO2
`—
`K Hayama, T. Tougurt, M. Ishida and T. Nakamura
`
`Performances of Usual Wet Cleanings and Study of their Coupling with
`7 nm Gate Oxidation Parameters
`
`F. Tardifi T. Lardin, C. Paillet, D. Bremond, J.P. Joly, F. Martin, P. Mur,
`L. Mouche, P. Patruno, A. Tonti, D. Levy, K Barla and W Sievert
`
`The Impact of LOCOS Formation on the Gate Oxide Integrity
`M. Dohmen, R. VVtjbmg and R. Girisch
`
`259
`
`267
`
`271
`
`275
`
`279
`
`283
`
`289
`
`293
`
`297
`
`301
`
`305
`
`309
`
`315
`
`

`
`10
`
`Defect Density of Ultra-Thin Gate Oxides Grown by Conventional
`Oxidation Processes
`M. Depas, B. Vermeire, P.W Mertens, M. Schaekers, M Meuris and MM Heyns
`
`Adsorption Behavior of Nonionic Surfactants onto Silicon
`J.S. Jean and S. Raghavan
`
`Perfect Cleaning Technology and Analysis for Organic Contaminants on
`Si Wafer Surface
`N. Yonekawa, S. Yasui and T. Ohmi
`
`Ashing without Acid : an Assessment of Modern Photoresist Strippers
`L.M Loewenstein and G. Brown
`
`319
`
`323
`
`327
`
`331
`
`
`
`Characterization of the Removal of HMDS Monolayers
`N. Pozfiris, J. Newby, A.M Gundlach, R. Pethrick, S. Affivssman
`and A. Tannahill
`
`335
`
`
`
`
`
`
`
`
`
`Effect of Chlorine Contaminated Organic Solvent Photoresist Stripper
`on Post-Metal Etch Corrosion
`
`A. Philipossian, J. Fadden, L. Roe and E. Krosche
`
`
`
`
`Removal of Polymer Following Reactive Ion Etching
`D.K Hwang, B.P. Luther, J. Ruzyllo and D. Mount
`
`
`T. Hattori (Invited)
`
`Comparison of the Stability of the Surface Structure and H—Termination
`of H2 Annealed and HF—Last Cleaned (100) Silicon
`
` Initial Stage of Oxidation of Hydrogen—Terminated Silicon Surfaces ‘
`H. Bender, L. Li, P. Mertens, M. Caymax and MM. Heyns
`
`
`
`
`Thermal Desorption from and Chemical Stability of Hydrogen-Terminated
`Si Surfaces Studied by HREELS
`H. Nzlshimura, T. Yamazaki, S. Miyazaki and M. Hirose
`
`Electronic Properties of HF-Treated Si(111) Surfaces during Native Oxide
`Growth
`
`H. Angermann, Th. Dittrich and H. Flietner
`
`
`
`
`
`
`
`
`Degradation of Clean Si—Surfaces due to Storage in Clean (2) Wafer Boxes
`W. Storm, W. Vandervorsl, J. Alay, M. Meuris, A. Opdebeeck, M.M. Heyns,
`C. Polleunis and P. Benfrand
`
`367
`
`Chemically Treated Stepped Silicon {100} Surfaces
`V. Nayar, AJ. Pidduck, M. Idrees and BE]. Dew
`Selective Etching of Phosphorous Doped Oxides over Undoped Oxides in
`a Low Pressure HF Vapor Process
`
`R]. Wilhelm, WJ. C. Vermeulen and H. Watanabe
`
`

`
`UV-ENHANCED ETCHING OF SILICON OXIDE BY
`CHLORINE TRIFLUORIDE
`
`C. Fred Hiatt, Jeffery W. Butterbaugh and David C. Gray
`FSI International, 322 Lake Hazeltine Drive, Chaska, MN 55318
`
`185
`
`1.
`
`INTRODUCTION
`
`In semiconductor device processing, silicon oxides are used in many forms
`for many different applications. Dense thermally grown silicon oxide is used as
`the gate dielectric film in MOS transistors. Steam grown thermal silicon oxide is
`used as a field oxidation dielectric ‘layer. Doped silicon oxides such as
`phosphosilicate glass (PSG) and borophosphosilicate glass (BPSG) are used as
`intermetal dielectrics because they can be easily planarized through reflow.
`During the processing of silicon based semiconductor devices, other types of
`silicon oxide films may be formed as the result of exposure of silicon surfaces to
`chemical processing step, or to the ambient environment.
`In many cases, these
`residual oxides are considered surface contaminants since they must be removed
`to allow the formation of a high quality electrical interface. Often, it is necessary
`to remove a chemical or native oxide, along with associated residues and
`contamination from a pattern feature bottom in the presence of one or more of the
`silicon oxides mentioned above. Oxide etching selectivity is of paramount
`importance in these cases since different oxides may be etched at drastically
`different rates.
`
`A current trend in semiconductor wafer processing is towards using gas
`vapors or all dry gas processes in a cluster tool environment to remove residual
`oxides and contaminants.
`It has long been known that vapors of HF/water
`mixtures will etch various silicon oxide films, and this technology has been well
`studied [1,2] and commercialized. Etching of oxide films with anhydrous HP has
`also been well studied [3] and has been found to be affected by the quantity of
`residual water in the process environment and in the oxide film itself, such that
`the etching mechanisms appear to be similar to those for HF/water mixtures. A
`limitation encountered in the use of HF vapor phase etching of oxide films is the
`low etching rates for native and chemical silicon oxide films relative to doped
`silicon oxides
`.
`
`[1] have studied the selectivity of the HF vapor etching
`Wong et al.
`processes to many different types of oxide films. The results show that native,
`chemical, and thermal oxides are typically removed at rates up to 10 times slower
`than the removal rates of PSG and BPSG doped silicon oxides.
`This is
`problematic in several common processing circumstances.
`First of all,
`it
`is
`commonly necessary to clean native oxide and other contaminant fiom the bottom
`of contact holes through dielectric layers of BPSG. Using the current vapor phase
`processes, several hundred angstroms of the BPSG can be removed before the
`
`

`
`
`
`
`
`186
`
`silicon oxides and contamination in the contact hole are removed. Secondly, it is
`common to use sandwich structures of different types of silicon oxide films.
`For instance, a BPSG layer between two undoped oxide layers is sometimes used
`as the intermetal dielectric film in the above mentioned contact application.
`Cleaning of contact or other topographic features through this type of composite
`film with the current HP vapor technology causes enhanced lateral etching of the
`BPSG layer relative to the undoped oxide layers. This results in an undercut
`profile which is difficult to fill with subsequent films without forming voids
`Here, we describe the application of photochemical
`(UV-enhanced)
`processes for the rapid etching of doped and undoped oxide films, and the
`removal of thin chemical oxide surface layers. Previous work with CIF3 reported
`enhanced selectivity of native oxide over silicon under UV irradiation [4]. The
`processes reported here employ CIF3 gas in a dry nitrogen carrier stream and
`simultaneous UV irradiation. Doped and undoped oxides are observed to be
`removed at nearly identical rates in a large process window (pat. pending).
`
`
`
`EXPERIMENTAL
`
`
`
`The fu1l—wafer reactor used in these studies was an experimental single
`wafer vacuum cluster module capable of conducting photochemical processing of
`100, 150, or 200 mm wafers. The module was attached to a vacuum cluster
`robotic handler.
`The reactor module was constructed of hardcoated 6061
`aluminum. A dry rough pump was used to pump the vacuum reactor to a base
`pressure below 10 mtorr. High purity sapphire windows were used to allow UV
`light exposure of the wafer front side. Gases were introduced in a uniform radial
`laminar flow, to enhance the transport of etching products away from the wafer
`surface. High intensity (~200—300 mW/cm? at 200-400 nm), broad band UV
`irradiation was achieved with a commercially available 300 W/inch, medium
`pressure mercury arc discharge lamp. The wafer pre-process temperature was
`controlled using a proximity heater. During the period of UV exposure the wafer
`temperature was transient due to IR output from the UV lamps. However, the
`wafer temperature typically did not exceed 300°C during processing. Process
`pressure was monitored and controlled using a capacitance manometer in a
`feedback loop with a downstream throttle valve.
`Substrates used in this study were l50—mm p<l00> silicon wafers, 1-5
`ohm—cm. Oxide films were prepared by growing 400013. of silicon oxide in a
`steam oxidation process at 1000 °C. 5000}; BPSG (3% B, 3% P) films were
`deposited by CVD over 1000A of thermal oxide. The gases used C.P. grade
`(99.0%) CIF3 and VLSI grade (99.998%) C12. Dry N2 from a liquid N2 vapor
`delivery system was used as a diluent gas.
`Thermal oxide and BPSG removal rates were measured by comparing the
`film thickness, measured by spectroscopic reflectometry, before and after
`
`
`
`

`
`Silicon removal rates were measured by stylus profilometry on
`processing.
`wafers partially covered by a patterned oxide layer.
`
`187
`
`3.
`
`RESULTS AND DISCUSSION
`
`Rates for etching of thermal silicon oxide and BPSG in UV/CIF3 processes
`are shown in Figure 1 for an initial wafer temperatures of 150°C as a function of
`CIF3 concentration. Total flow was maintained at 1000 sccm using dry N2 as a
`diluent, and total pressure was maintained at 100 Torr. Thermal oxide and BPSG
`were found to etch at similar
`rates with a selectivity of better
`than 1.5
`
`(BPSG:thennal oxide).
`
`1.5
`
`76
`EX
`0
`
`1
`
`
`
`-BPSG
`Q)
`E E:'_"]therma| oxide
`05 g -I-BPSG/th. ox.
`-5
`:3
`3
`
`150
`
`§ 100
`g
`E
`Q)
`E 50
`=
`
`0.5
`
`0.75
`
`0.9
`
`CIF3 fraction in N2
`
`Figure 1. Removal rates of BPSG and thermal oxide during UV/CIF3 processes
`at 150°C as a function of CIF3 concentration.
`
`The removal of 10-20A chemical oxide layers using UV/CIF3 and
`UV/(ClF3+Cl2) processes was also investigated. Oxide patterned p<100> wafers,
`comprising 1-5 pm lines and vias, were subjected to an RCA-type wet chemical
`clean to produce the chemical oxide layer in the unpatterned regions. The wafers
`were then processed with UV/CIF3 or UV/(ClF3+Cl2). The CIF3 only process used
`2.5 sccm CIF3 with 997.5 sccm N2. The ClF3+C12 process used 2.5 sccm CIF3, 50
`sccm C12 and 947.5 sccm N2. Both processes were run at 100 torr with an initial
`wafer temperature of 100°C. Several wafers were exposed to UV for time periods
`ranging fiom 0.5 minutes to 3 minutes. The oxide pattern mask was subsequently
`stripped, and the depth of etching into the silicon substrate was measured using a
`
`

`
`188
`
`stylus profilometer. The results of these experiments are summarized in Figure 2.
`Regression of the time series back to a zero (undetectable silicon etch depth)
`indicates that the chemical oxide was removed after approximately 30 seconds of
`UV exposure under the experimental conditions. Comparison of the silicon
`surface roughening after chemical oxide removal for the two processes revealed
`that
`the UV/CIF3 process resulted in a visually rough surface, while the
`UV/(C1F3+Cl2) process left the silicon surface substantially smoother. This
`process is expected to be applicable as a dry contact clean.
`
`500
`
`
`
`A 400
`“S
`
`‘g 300
`g
`E 200
`ié
`
`-UVICIF3
`uuv/(c12+cn=3)
`
`"” 100
`
`
`
`
`
`
`
`
`
`
`
`O
`
`1
`
`2
`
`3
`
`4
`
`UV exposure time (minutes)
`
`Figure 2. Silicon removal as a function of UV exposure time for UV/CIF3 and
`UV/(C12 + ClF3) processes indicating the time to remove 10-20/X of
`chemical oxide.
`
`REFERENCES
`
`1. M. Wong, M. Moslehi and D. Reed, J. Electrochem. Soc. 138(6), l799(l991).
`2. M. Wong, M. Moslehi and R. Bowling, J. Electrochem. Soc. 140(1),
`205(1993)
`3. C. Helms and B. Deal, Journal ofthe IES, 21(l992).
`4. Y. Saito, O. Yarnaoka, amd A. Yoshida, Appl. Phys. Lett. 56(l2), ll19(l990).

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket