throbber
Our Reference: 16820.P097
`
`APPLICATION FOR UNITED STATES PATENT
`
`FOR
`
`METHOD FOR ELIMINATING LATERAL SPACER
`EROSION ON ENCLOSED CONTACT TOPOGRAPHIES
`DURING RF SPUTTER CLEANING
`
`\.
`
`Inventors:
`
`JAMES E. NULTY
`CHRISTOPHER I. PETTI
`
`Prepared by:
`
`BLAKELY SOKOLOFF TAYLOR & ZAFMAN
`12400 Wilshina Boulevard
`Seventh Floor
`Los Angeles. CA 90025
`(310) 207-3800
`
`
`
`I hereby certify that this correspondence is
`being deposited with the United States Postal
`Service as Express Mail (Label No: 1g '79:. us‘: ‘:5 7-
`in an envelope addressed to: Commissioner of Patents
`and
`arks
`‘ gton, D.C. 20231 on:
`$94
`
`)
`
`1397
`
`Na me
`
`/2/22 /9;"
`
`Date
`
`INTEL 1 109
`
`
`
`

`
`The invention relates to semiconductor device processes, and more
`
`particularly, to improved methods for etching openings in insulating layers and a
`
`semiconductor device with well defined contact openings.
`
`Bggkggggggj Qf thg Invention
`
`In the fabrication of semiconductor devices, numerous conductive device
`
`
`
`10
`
`15
`
`regions and layers are formed in or on a semiconductor substrate. The conductive
`
`regions and layers of the device are isolated from one another by a dielectric.
`
`Examples of dielectrics include silicon dioxide, SiO2, tetraethyl orthosilicate glass
`
`("TEOS"), silicon nitrides, SixNy, silicon oxynitrides, SiOxNy(I-I2), and silicon
`
`dioxide/silicon nitride/silicon dioxide ("ONO"). The dielectrics may be grown, or
`
`may be deposited by physical deposition (e.g., sputtering) or by a variety of chemical
`
`deposition methods and chernistries (e.g., chemical vapor deposition ("CVD")).
`
`Additionally, the dielectrics may be undoped or may be doped, for example with
`
`boron, phosphorous, or both, to form, for example, borophosphosilicate glass
`
`("BPSG"), phosphosilicated glass ("PSG"), and borophosphosilicate tetraethyl
`
`orthosilicate glass ("BP'l"EOS").
`
`At sev a1 stages of the fabrication of semiconductor devices, it is necessary to
`
`make openings in
`
`e dielectric to allow for contact to underlying regions or layers.
`
`Generally, an opening hrough a dielectric exposing a diffusion region or an
`
`opening through a dielect ‘c layer between polysilicon and the first metal layer is
`
`called a "contact opening", w ' e an opening in other oxide layers such as an
`
`0
`
`P9
`
`nin throu h an intermetal di ectric la er is referred to as a "via". For ur oses
`8
`8
`Y
`P
`P
`
`JCS/WTE/mp
`
`
`
`l6820.P097
`
`is?
`
`0””
`
`

`
`of the cla‘
`
`ed invention, henceforth "contact opening" or "contact region" will be
`
`used to refer
`
`contact openings and/ or via. The opening may expose a device
`
`region within th silicon substrate, such as a source or drain, or may expose some
`
`other layer or stru
`
`5
`
`interconnect layer, or
`
`ucture such as a gate. After the opening has been formed
`
`
`re, for example, an underlying metallization layer, local
`
`exposing a portion of the egion or layer to be contacted, the opening is generally
`
`cleaned with a sputter etch eg, a Radio-Frequency ("RF") sputter etch, and then the
`
`opening is filled with a cond xtive material deposited in the opening and in
`
`electrical contact with the uncle
`
`r yingggiczn_o_r_Jay£:.~
`
`
`
`10
`
`To form the openings a patterning layer of photoresist is first formed over the
`
`dielectric layer having openings corresponding to the regions of the dielectric where
`
`the dielectric layer openings are to be formed.
`
`In most modern processes a dry etch
`
`is then performed wherein the wafer is exposed to a plasma, formed in a flow of one
`
`or more gases. Typically, one or more halocarbons and] or one or more other
`
`' 15
`
`halogenated compounds are used as the etchant gas. For example, CF4, CHF3 (Freon
`
`23), SF5, NF3, and other gases may be used as the etchant gas. Additionally, gases
`
`such as 02, Ar, N2, and others may be added to the gas flow. The particular gas
`
`mixture used will depend on, for example, the characteristics of the dielectric being
`
`etched, the stage of processing, the etch tool being used, and the desired etch
`
`20
`
`characteristics, i.e., etch rate, sidewall slope, anisotropy, etc.
`
`Many of the etch characteristics are generally believed to be affected by
`
`polymer residues that deposit during the etch. For this reason, the fluorine to
`
`carbon (F/C) ratio in the plasma is considered an important determinant in the etch.
`
`In general, a plasma with a high F/C ratio will have a faster etch rate than a plasma
`
`25 with a low F/C ratio. At very low rates, i.e., high carbon content, polymer
`
`deposition occurs and etching ceases. The etch rate as a function of the F / C ratio is
`
`JCS/WTB/mp
`
`l6820.P097
`-2~
`?
`
`

`
`
`
`typically different for different materials. The difference is used to create a selective
`
`etch, by using a gas mixture that puts the F/ C ratio in the plasma at a value tlmt
`
`leads to etching at a reasonable rate for one material, and that leads to no etching or
`
`polymer deposition for another. For example, an etchant that has an etch rate ratio
`or a selectivity ratio of two to one for silicon nitride compared to silicon dioxide is
`
`5
`
`an effective stripper of silicon nitride from the semiconductor substrate. because it
`
`will selectively strip silicon nitride over silicon dioxide on a substrate surface. An
`
`etchant that has an etch rate ratio or a selectivity ratio of 0.85 to one for silicon
`
`nitride compared to silicon dioxide is not considered an effective stripper of silicon
`
`10
`
`nitride from the semiconductor substrate because the etchant will not effectively
`
`strip silicon nitride to the exclusion of silicon dioxide.
`
`The selectivity of the etch process is a useful parameter for monitoring the
`
`process based on the etch rate characteristic of the particular etchant. As noted
`
`above, particular etchants or etchant chemistries attack different materials at
`
`15
`
`different etch rates. With respect to dielectrics, for example, particular etchants
`
`attack silicon dioxide, BPTEOS, TEOS, and silicon nitride dielectrics at different rates.
`
`To make openings in a substrate comprising a Contact region surrounded by
`
`different dielectric layers, e.g., a dielectric layer of TEOS surrounded by a dielectric
`
`layer of silicon nitride, a process will utilize different etchants to make openings
`
`29
`
`through the different dielectrics. Thus, the different E‘td‘l rates of particular dielectric
`
`layers for anuetchant may be used to monitor the creation of an opening through a
`
`dielectric layer.
`
`Further, by adjusting the feed gases, the taper of the sidewall in the etched
`
`opening of the dielectric can be varied.
`
`If a low sidewall angle is desired, the
`
`25
`
`chemistry is adjusted to try to cause some polymer buildup on the sidewall.
`
`Conversely, if a steep sidewall angle is desired, the chemistry is adjusted totry to
`
`JCS/WTB/mp
`
`-3-
`
`1682013097
`
`m
`
`

`
`/ prevent polymer buildup on the sidewall. Varying the etch gas pressure, for
`
`example, has a significant effect on the shape of the opening. This is because the
`
`etchant ions generally arrive in a direction perpendicular to the substrate surface,
`
`and hence strike the bottom surfaces of the unmasked substrate. The sidewalls of
`
`5
`
`etched openings, meanwhile, are subjected to little or no bombardment. By
`
`increasing the pressure of the etch gas, the bombardment directed toward the
`
`sidewalls is increased; by decreasing the pressure of the etch gas, the bombardment
`
`directed toward the sidewalls is decreased. The changing of the etch chemistry is
`
`also directly related to selectivity. Etchants that provide a near 90° sidewall angle are
`
`10
`
`generally not highly selective while highly selective etches typically produce a
`
`sloped sidewall.
`
`Following the dielectric etch(es) and prior to any conductive material
`
`contact region is removed or cleaned through a non-chemical sputter etch, e.g., an
`
`15
`
`RF sputter etch.
`
`In addition to alleviating the contact region of native oxide, the
`
` deposition in a contact region, native oxide on top of the conducting layers in the
` of the sputter etch must be carefully monitored so as not to excessively erode the
`
`sputter etch can erode any insulating dielectric layer or layers. Thus, the parameters
`
`insulating dielectric layer(s) and expose other underlying conductive material.
`
`Exposing insulated conductive material adjacent to the conductive material in the
`
`20
`
`contact region results in poor quality contacts or a short circuit through the
`
`underlying conductive material. For a thorough discussion of oxide etching, see 5.
`
`Wolf and R.N. Tauber, Silicon Prgggssing fgr the VLSI Era Vol. 1, pp. 539-85 (1986).
`
`—
`
`The precedki discussion focused on the making of openings, e.g., contact
`.
`.
`.
`.
`fit
`' openings, in dielectri
`
`material on a semiconductor substrate. The same principles
`
`are used in constructin device regions with a dielectric layer or layers, As
`
`7.5
`
`
`
`ing of discreet devices on a semiconductor substrate
`
`geometries shrink, the for
`
`JCS/Wm/mp
`
`-4-
`
`16820.P097
`
`

`
`becomes more sp
`
`alizedr Specialized deposition and etching techniques permit
`
`
`translates into larger memor rating speeds, and reduced production costs.
`
`the density of semicondu
`
`
`
`elements on a single chip to greatly increase, which
`
`
`
`A typical metal oxide semiconductor (MOS) transistor, e.g., NMOS or PMOS
`
`5
`
`transistor, generally includes sourceldrain regions in a substrate, and a gate
`
`electrode formed above the substrate between the source/ drain regions and
`
`separated from the substrate by a relatively thin dielectric. Contact structures can be
`
`inserted to the source/ drain regions and interlays can overlie the contact structures
`
`and connect neighboring contact structures. These contact structures to the
`
`10
`
`diffusion region are isolated from the adjacent gate by dielectric spacer or shoulder
`
`portions. The dielectric spacer or shoulder portions also isolate the gate from the
`
`diffusion region.
`
`Conventional contact structures limit the area of the diffusion region, because
`
`the contact hole is aligned to these regions with a separate masking step, and extra
`
`15
`
`area must be allocated for misalignment. Proper alignment is necessary to avoid
`
`shorting the contact structure to the gate or the diffusion well. The larger contact
`
`area means a smaller density of elements on a structure. The larger contact area is
`
`also responsible for increased diffusion-to~substrate junction capacitance, which
`
`limits device speed.
`
`20
`
`A self-aligned contact eliminates the alignment problems associated with’
`conventional contact structures and increases the device density ‘of a structure. A
`
`self-aligned contact is a contact to a source or drain diffusion region. A self—aligned
`
`contact is useful in compact geometries because it can overlap a conducting area to
`
`which it is not supposed to make electrical contact and can overlap the edge of a
`
`25
`
`diffusion region without shorting out to the well beneath Consequently, less
`
`JCS/WYB/mp
`
`-5-
`
`l6320.P097
`
`{
`
`

`
`contact area is needed and gates or conductive material lines, e.g., polysilicon lines,
`
`can be moved closer together allowing more gates or lines on a given substrate than
`
`traditional contacts.
`
`
`
`e 1 illustrates a self-aligned contact between two gate structures. Figure
`
`1(A) is a
`
`anar top view of the contact. Figure 1(8) is a planar cross-sectional view
`
`of a self-ali
`
`ed contact between a pair of gates taken through line 1(8) of Figure
`
`1(A). Figure ,1 C) is a planar cross-sectional view of a self-aligned contact between a
`
`pair of gates tak
`
`through line 1(C) of Figure 1(A).
`
`
`contact is a contact to a source or drain diffusion region (n+
`
`or p+ silicon) 140 that
`n overlap the edge of the diffusion region 140 without
`shorting out to the well b
`
`in Figure 1(C), the contact 130 does not lie directly
`
`eath the diffusion region 140. This can be seen most
`
`illustratively through Figure C).
`
`in the diffusion region 140, but misaligned and slightly overlaps the field oxide.
`In this illustration, the self-aligned ontact is not directly over the diffusion region
`
`but extends over (i.e., overlaps) a wel
`short to the well portion 170 because th self-aligned contact is separated from the
`
`rtjon 170. The self-aligned contact does not
`
`well 170 by the field oxide.
`
`
`
`The self-aligned Contact 130 is separate
`
`110 by an encapsulating dielectric layer 120 such
`
`from a conducting polysilicon layer
`
`at the Contact 130 can also overlap
`
`the polysilicon layer 110 without making electrical
`The polysilicon layer 110 is separated from the source drain diffusion region 140 by
`
`ntact to the layer 110 or gate.
`
`a dielectric spacer or shoulder 150 of the same or differe t dielectric material as the
`
`dielectric layer 120 directly above the conducting polysilic
`
`
`
`A distinct dielectric etch stop layer 125 overlies the enca sulating dielectric
`
`layer 120. The etch stop layer 125 permits subsequent etching of he substrate
`
`JCS/WTB/mp
`
`45-
`
`1682013097
`
`
` 10
`
`15
`
`20
`
`

`
`
`
`structuring and
`
`without ris of exposing the device structures and layers because the device
`
`ers are protected from excessive etching by the etch stop layer.
`
`The diffusion contac ’
`self—aligning because the structure can be etched to the
`
`substrate over the source
`
`5
`
`protects the polysilicdn layer
`
`ain diffusion region 140 while the dielectric spacer 150
`
`0. Even if a photoresist that protects the polysilicon
`
`layer 110 from the etchant is misa '
`dielectric spacer 150gprevents ShOf €
`
`ed with respect to the polysiiicon layer 110, the
`
`polysilicon layer 110 when the contact 130
`
`
`
`is provided for the diffusion region 140.
`
`/\
`
`
`
`to protect uncle
`
`ing regions, like the etch stop layer and the first insulating layer.
`
`Figure 2 demonstr
`
`es a typical prior art process of forming a self-aligned Contact
`
`region adjacent to a ga
`
`.
`
`In Figure 2(A), a gate oxide layer 210 is formed on a
`
`15
`
`substrate 200 with a cond cting layer, for example a polysilicon layer 220, overlying
`
`the gate oxide layer 210, and n insulating layer, for example a TEOS layer 230,
`
`overlying the polysilicon layer
`
`0. Adjacent to the polysilicon layer is a contact
`
`opening region 270. The polysilico layer 220 is separated from the contact region
`
`270 by an insulating spacer portion, foi\example a TEOS spacer portion 235. A
`
`20
`
`25
`
`the TEOS layer 230 and the contact region 2
`
`. A blanket layer, for example a doped
`
`insulating layer like a BPTEOS layer 250, plana
`
`overlies the etch stop layer 240.
`
`separate insulating or etch stop layer, foi'\&z:rriple a silicon nitride layer 240 overlies
`
`
`In Figure ?.(A), a co tact opening 270 has been
`opened through the BPTEOS layer 250. The etchant utili
`d to make the opening
`
`
`had a high selectivity toward BPTEOS relative to silicon ni
`' e. When the contact
`\\
`
`A layer of photoresist material 280 overlies t
`
`planarized BPTEOS layer 250
`
`to expose the contact opening 270.
`
`JCS /WTB/mp
`
`-7-
`
`16820.P097
` .
`
`

`
`
`
`openin was through the BPTEOS material, the etchant did not etch or did not
`
`effectively
`
`ch the silicon nitride layer 240 material. Hence the description of the
`
`
`
`er 240 as an etch stop layer. The silicon nitride etch stop layer
`
`protected the uncle ying TEOS layer so that the polysilicon remains completely
`
`5
`
`encapsulated.
`
`
`igure 2(A) illustrates an etch 260 to remove the silicon nitride etch stop layer
`0 20. In t
`
`
`etch illustrated in Figure 2(A), a high selectivity etch toward silicon
`
`nitride relati e to the underlying TEOS layer 230 material is practiced to efficiently
`
`etch the silicon 'tride l_ayer and to protect the underlying TEOS layer 230 from the
`
`10
`
`etchant. An exam le of a high selectivity etch recipe to effectively strip silicon
`
`
`
`nitride as compared
`
`the TEOS layer is 30 sccm CI~l.‘F3 and 30 sccm 02 at 60 mtorr
`
`2(8).
`
` nitride compared to TEOS material, owever, left the TEOS layer 230 with a spacer
`
`and 100 watts of power. The result of the highuselectivity etch is illustrated in Figure
`
`
`Figure 2(B) shows that
`e silicon nitride selective etch effectively removed
`
`opening 270. The selective etch for silicon
`silicon nitride 240 from the conta
`
`
`portion 235 wherein the spacer portion ' sloping or tapered toward the contact
`
`opening. This result follows even where
`e spacer portion 235 is originally
`
`substantially rectangular as in Figure 2(A).
`etch of the overlying etch stop layer will transf
`
`e properties of the highly selective
`
`In a_ substantiallyrectangular spacer
`
`into a sloped spacer.
`
`lfigure__g(B) presents a polys‘ ‘con layer 220 encapsulated in a
`
`A spacer portion 235 having an angle 290 that is less than 5°.
`
`TEOS layer 230 with a spacer portion 235 adjacent to
`
`In addition to providing stopping points or selectjvi
`
`between materials, the
`
`
`
`
`
`use of high selectivity etches to form sloped spacer portions is
`
`e preferred practice
`
`JCS/WTB/mp
`
`-8-
`
`l6820.P097,
`
`15
`
`
`
`20
`
`25
`
`

`
`
`because the slo ed shape will result in good step coverage by the metal that is
`
`deposited into it. The filling of Contact openings or gaps (i.e., gap fill) is an
`
`important considera "on because it relates directly to the reliability of a device.
`
`If an
`
`opening is not complet
`
`
`
`created, a subsequent corguctive material deposit can fill the gap which can lead to
`shorting. Sloped contact ope '
`because the transition between oped structures and openings is smooth compared
`
`filled with a insulative material, for example, and a gap is
`
`gs are easier to completely fill than boxy structures
`
`to the abrupt transitions between b xy structures and openings. Because of concerns
`
`for complete gap fill and good step co erage, industry preference is for sloped spacers
`
`10
`
`
`and planar deposition layers similar to t
`
`t shown in Figure 2(b).
`
`
`
`15
`
`20
`
`described abov will attack and erode a portion of the insulating spacer surrounding
`
`the conducting po tion and adjacent to the contact region. Figure 3 presents a prior
`
`art substrate with a
`
`te and a contact region undergoing an RF sputter etch.
`
`In
`
`Figure 3, a gate oxide 3
`
`is formed on a substrate 300 with a polysilicon layer 320
`
`overlying the gate oxide 3
`
`and an insulating layer, for example a TEOS layer 330
`
`overlying the polysilicon laye 320. A distinct insulating layer, for example a silicon
`
`nitride etch stop layer 340, overl
`
`5 the TEOS layer 330 and this etch stop layer 340 is
`
`covered by a third insulating layer, or example a BPTEOS blanket layer 350.
`
`Adjacent to the gate is a contact regio 360. An etch of the silicon nitride etch stop
`
`layer 340 with a high selectivity etch for
`
`ilicon nitride relative to the underlying
`
`TEOS layer material produced a gate with a loping or tapered spacer portion 370 of
`
`TEOS material, illustrated in ghost lines. A su sequent RF sputter etch is utilized to
`
`clean the contact region 360.
`
`JCS/WTB/mp
`
`-9-
`
`l6820.P097
`
`
`
`

`
`though brief and designed to clean the contact region, the RF sputter etch
`
`
`
`sputter etch 380.
`
`‘Bec
`
`e the spacer portion 370 is sloping or diagonal, a significant
`
`surface area portion of
`
`spacer portion 370 is directly exposed to the high-energy
`
`particles from the RF spurt
`
`etch 380. Further, with sloping spacers, or spacers
`
`having an angle relative to th
`
`ubstrate surface of less than 85° the vertical portion
`
`
`
`10
`
`of the dielectric layer ((i.e., that p tion above the polysilicon gate) decreases much
`
`less than the diagonal portion of the
`
`acer.
`
`In terms of measuring TEOS material
`
`removal during the RF sputter etch in Fi
`
`e 3, the difference between d] and d2 is
`
`greater than the difference between 1:} and
`
`. Thus, in conventional prior art self-
`
`aligned contact structures, the diagonal thickne s of the TEOS spacer, rather than the
`
`15
`
`vertical thickness of the TEOS layer, determines
`
`e minimum insulating layer
`
`thickness for the gate.
`
`
`For gate structures havin minimum diagonal insulative spacer portions of
`
`500 A or less, the result of the sput
`
`r etch 380 is that the sputter etch 380 laterally
`
`erodes the diagonal portion of the TE
`
`layer 370 adjacent to the contact region to a
`
`
`point where the polysilicon 320 is no lon er isolated from the contact region 360 by
`In that case, there is a
`ort circuit through the underlying
`conductive material when the contact openin is filled with conductive material.
`
`
`20
`
`an insulating layer.
`
`This result follows because the conventional RF
`
`utter etch utilized for cleaning
`
`the contact region results in an approximately 2006 O A loss of the spacer material.
`
`75
`
`Further, process margins generally require that the de 'ce spacer have a final
`
`minimum thickness (after all etches, doping, and deposits of at least 500 A. Thus,
`
`jCS/WTB/mp
`
`-10-
`
`1682D.P097
`
`
`
`

`
`ent sensitivity for conventional small feature size structures,
`
`
`to eliminate alig
`
`
`
`
`
`10
`
`15
`
`
`
`
`than 500 13. requires that the pre-etch-stop—etch spacer be bigger or
`portion of mar
`thicker to yield a
`effective spacer after the etching processes. In such cases, the
`
`structures must be
`
`parated a distance such that the contact area opening is
`
`sufficient enough for n effective contact. This spacing requirement directly limits
`
`the number of structure that can be included on a device.
`
`structures, particularly str
`tures utilizing self-aligned contacts, the width of contact
`openings is approximately 0. microns at the top of the planarized layer and 0.2
`
`In small feature size
`
`microns at the base of the conta t opening. Figure 3 indicates the difference in
`
`contact opening widths for the sa
`
`e contact in prior art structures. w1 represents
`
`the width at the top of the planarize layer and wz represents the width at the base
`
`of the contact opening. Further, an asp ct ratio can be defined as the height of a
`
`20
`
`structure (field oxide plus conductive lay
`
`plus first insulative layer plus etch stop
`
`layer, if any) relative to the width of the ba
`
`
`of a contact opening (i.e., the distance
`
`between adjacent spacers). Typical aspect ratio for self-aligned contact structures
`
`target ratios of 1.0-2.4. This prior art range is not chievable with any device
`
`25
`
`less than 1000 A and preferably on the order of 500 A.
`
`reliability. To achieve aspect ratios of 1.0-2.4 requir 5 minimum spacer portions of
`
`s noted above, the
`
`minimum spacer portions required for aspect ratios of 1. -2.4 cannot withstand the
`
`}Cs/wna/mp
`
`-
`
`.11.
`
`i6820.P097
`
`
`

`
`sputter etch an will result in the exposure of the underlying polysilicon gate and
`
`short circuiting w
`
`the contact.
`
`There is a need forcost effective structures wherein the individual devices
`
`are as close together as possible while maintaining device reliability and an adequate
`
`process margin and assuring complete gap fill. There is a need for a device and for a
`
`process to manufacture such a device whereby there is provided a contact opening
`
`with no alignment sensitivity relative to a gate electrode or other structure and
`
`whereby the gate electrode does not fall within the contact opening but remains
`isolated from the contact opening by an insulating layer. The process must be
`
`10
`
`compatible with gate electrode insulating spacers of less than 500 15.. The device
`
`resulting from the needed process should be capable of maintaining high quality
`
`contacts between the conductive material in the contact region and the adjacent
`
`conductive gate or other structure.
`
`
`
`JCS/WTB/mp
`
`.12-
`
`1sa2o,po97
`§T
`
`

`
`
`
`The invention relates to a process for minimizing lateral spacer erosion of an
`
`insulating 1
`
`er on an enclosed contact region is disclosed and a device including a
`
`contact ope ' with a small alignment tolerance relative to a gate electrode or
`
`5
`
`other structure.
`
`e process provides high quality contacts between a conductive
`
`material in the con ct region and a device region, such as a source or drain, or some
`other layer or stru
`conductive layer on the semiconductor body adjacent a contact region. This is
`
`e. The process comprises the well known step of forming a
`
`followed by the forming
`
`
`
`a first insulating layer adjacent said conductive layer and
`
`10
`
`the contact region. A select cl area is masked with photoresist and the first
`
`insulating layer and the cond ctive layer are etched to form a device structure, such
`
`as a gate, adjacent the contact re ion. Next, insulating lateral spacers are added to
`
`the device structure to isolate the onductive portion of the device. The insulating
`
`spacers are etched so that the devic comprises an insulating layer overlying a
`
`15
`
`conductive layer with a lateral spacer ortion adjacent the contact region wherein
`
`the spacer portion has a substantially re angular profile. A distinct insulating layer
`
`
`or etch stop layer is then formed adjacent
`
`the first insulating layer and over the
`
`
`
`
`
`contact region. A third insulating layer or bl nket layer is then optionally formed
`
`over the etch stop layer. The blanket layer may r may not be planarized.
`
`20
`
`If a blanket layer is included, an etchant is utilized to etch a contact opening
`
`through the exposed portion of the blanket layer to the etch stop layer. Next, a
`
`second etch or etch-stop etch is performed to remove the etch stop layer material
`
`from the contact region. The etch-stop etch is also almost completely anisotropic,
`
`meaning that the etchant etches in one clirection——in this case, vertically (or
`
`25
`
`perpendicular relative to the substrate surface) rather than horizontally. The etch
`
`jC5/WTB/mp
`
`-13-
`
`16820.PO97
`
`j=
`
`

`
`removes the etch stop insulating layer and retains the substantially rectangular
`
`lateral spacer portion of the first insulating layer. The anisotropic etch etches
`
`primarily the exposed etch stop material that lies normal to the direction of the etch.
`
`Thus, the etch removes the etch stop material covering the area of the contact
`
`5
`
`region but does not significantly etch the etch stop material adjacent to the spacer(s).
`
`The etch stop layer on the spacer adds dielectric thickness between the conductive
`
`layer and any contacting conductor.
`
`In general, the etching conditions utilized for
`
`the etch-stop etch have a low selectivity for etching the etch stop layer compared to
`
`the underlying insulating material.
`
`10
`
` 15
`
`
`
`The etch-stop etch may be followed by a sputter etch to clean the contact
`
`region. Unlike prior art processes whereby the sputter etch erodes the underlying
`
`sloping lateral spacer portion of the first insulating layer adjacent to the conducting
`
`layer, the sputter etch does not significantly erode the substantially rectangular
`
`lateral spacer of the first insulating layer, thus allowing the conductive layer of the
`
`device structure to remain completely isolated or insulated by a spacer comprised of
`
`the first insulating layer and some etch stop layer material.
`
`The structure contemplated by the invention is an effective device for small
`
`feature size structures, particularly self—aligned contacts. The structure consists of
`first and second conducting layers spaced apart by a region with an area defined in
`
`20
`
`the substrate; an insulating layer encapsulating each conductive layer, wherein the
`
`insulating layer includes lateral spacer portions; and an etch stop layer adjacent the
`
`insulating layer and over the first and second conducting layers. The invention
`
`contemplates that the structure region has a first width between the first and second
`
`conducting layers, and a second width between the lateral spacer portions of the
`
`25
`
`insulating layer adjacent to the first and second conducting layers, wherein the
`
`region has an aspect ratio of 1.0-2.4. The aspect ratio is defined as the height of the
`
`ICS/WTB/rnp
`
`’
`
`16820.PD97
`Z14-
`_
`
`

`
`apparatus relative to the second width of the region. Thus, the invention
`
`contemplates larger contact openings for effective contacts, reduced device feature
`
`size, and increased device density, while maintaining aspect ratios similar to larger,
`
`less dense devices in the prior art. The invention further contemplates that the
`
`5
`
`structure has a minimum insulating layer thickness of 400 A and that this
`
`minimum thickness is determined by the thickness of the insulating layer deposited
`
`vertically on the structure.
`
`The device is capable of maintaining high quality, reliable contacts between
`
`the conductive material in the contact region and the underlying device region,
`
`10
`
`such as a source or drain, or some other layer or structure. The device contemplates
`
`minimum contact ‘opening base widths of 0.2 microns and minimum Contact
`
`opening widths of 0.5 microns when measured from the top of a planarized layer,
`
`and aspect ratios (i.e., height of structure including the etch stop layer relative to the
`
`width of the base of a contact opening between the spacers) on the order of 1.0-2.4.
`
`15
`
`Additional features and benefits of the invention will become apparent from
`
`the detailed description, figures, and claims set forth below.
`
`010%
`
`
`
`JCS/WTB/mp
`
`-15-
`
`1682019097
`
`
`

`
`
`
`The features, aspects, and advantages of the present invention will become
`
`more thoroughly apparent from the following detailed description, appended
`
`claims, and accompanying drawings in Which:
`
`566!
`
`
`e 1 is a planar view of a self-aligned contact to diffusion. Figure 1(A) is a
`Fi
`
`planar top vi
`of a self-aligned contact. Figure 1(B) is a crosssecfional planar side
`view of a self-ah
`
`
`1(C) is a cross-secti
`al planar side view of a self-aligned contact to diffusion
`through line 1(C) of Fi ure MA).
`
`opening formation. Figure 2(
`
`Figure 2 is a cross—se tional side View of the formation of a prior art contact
`
`illustrates a high selectivity etch of an etch stop
`
`
`ustrates the results of that etch.
`
` insulating layer, and Figure 2(B}
`
`
`10
`
`15
`
`Figure 3 is a cross-sectional sid view of the formation of a prior art contact
`
`opening formation during a sputter clea ‘ng etch.
`
`Figure 3 is a cro
`
`sectional view of an example of a semiconductor device
`
`during fabrication upon
`
`hich the invention may be practiced.
`
`Figure 4 presents a cross-sectional planar side view of the preparation of a
`
`series of gates on a semiconductor substrate surface.
`
`Figure 4(A) illustrates a cross-sectional planar side view of an insulating layer
`
`20
`
`adjacent to a conducting layer, both layers overlying two diffusion regions.
`
`Figure 4(B) illustrates a cross-sectional planar side view of a series of gates
`
`consisting of insulating material adjacent conducting material.
`
`JCS/WTB/mp
`
`-16-
`
`l6820.P097
`
`
`
`

`
`Figure 4(C) illustrates a cross~sectional planar side view of the deposition of
`
`additional insulating material over the series of gates, the additional insulating
`
`material to be used for the formation of spacer portions adjacent the contact or
`
`diffusion regions.
`
`5
`
`Figure 4(D) illustrates a cross-sectional planar side view of a series of gates
`
`completely encapsulated in insulating material wherein the spacers of the
`
`insulating material adjacent the contact or diffusion regions have substantially
`
`rectangular profiles.
`
`B) illustrates a cross-sectional planar side view of a series of gates
`Figure
`p,t(\>
`10
`encapsulated wit
`'nsu.lating material and an insulating etch stop layer overlying_
`
` the insulating materia
`
`
`
`
`Figure 4(F) illustrates a ross-sectional planar side view of a series of gates
`
`encapsulated with insulating rnat
`
`"al and an insulating etch stop layer overlying
`
`the insulating material, wherein the cl usion region is implanted to include a
`
`15
`
`silicide.
`
`Figure 4(G) illustrates a cross—sectional planar side view of a series of gates
`
`encapsulated with insulating material, an etch stop layer overlying the insulating
`
`material, and a distinct planarized insulating layer overlying the etch stop layer.
`
`Figure 4(H) illustrates a cross-sectional planar side view of a series of gates
`
`20
`
`encapsulated with insulating material, an etch stop layer overlying the insulating
`
`material, a distinct planarized insulating blanket layer overlying the etch stop layer,
`
`and a photoresist patterning layer deposited over the blanket layer.
`
`Figure 4(1) illustrates a cros -sectional planar side view of a series of gates
`
`M9“ ;encapsulated with insulating mate
`
`1, an etch stop layer overlying the insulating
`
`JCS/W113/mp
`
`-l7-
`
`l6820.P097
`
`, ,,,,, if
`
`

`
`material, a dis
`
`ct planarized insulating blanket layer overlying the etch stop layer,
`
`and contact openin
`
`etch through the .blanket layer above the diffusion region.
`
` moss-sectional planar side view of a series of gates
`encapsulated with insulating
`terial, an etch stop layer overlying the insulating
`
`5 material, a distinct planarized ins
`
`ting blanket layer overlying the etch stop layer,
`
`and a contact opening to a diffusion r
`
`‘on and a second contact opening through
`
`the blanket layer but separated from the
`
`' fusion region by an etch stop layer.
`
`Figure 4(K) illustrates a close-up cross-sectional planar side view of a circled
`
`portion of Figure 4(]

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket