throbber
382
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 8, NO. 4, NOVEMBER 1995
`
`Modeling of Chemical-Mechanical Polishing:
`A Review
`Gerd Nanz and Lawrence E. Camilletti
`
`Abstract-This paper gives a survey of the status of today’s
`modeling of chemical-mechanical polishing (CMP). Most existing
`models describe specific aspects of CMP, such as the Row of the
`slurry or the bending of the polishing pad. However, as yet no
`model describes the entire available process. This paper critically
`reviews existing models with respect to generality. In particular,
`the different assumptions of the models are investigated. Further-
`more, the models are compared and the controversial treatment
`of physical effects is discussed.
`
`I. INTRODUCTION
`NOVEL technique for planarization is of growing in-
`
`A terest, since conventional planarizing methods such as
`
`flowing oxide layers do not give the required global planarity
`for advanced processes. Multilevel interconnects and the use
`of 3-D packaging require sophisticated methods to planarize
`the surfaces of wafers for subsequent device processing. For
`five or more layers of a logic device at least one layer should
`be perfectly planar [14]. Lack of planarity may lead to severe
`problems for lithography (insufficient focus depth) and dry
`etching in sub 0.5-pm IC processes [7].
`Several methods are known to achieve a higher level of
`planarization: (chemical-mechanical) polishing, laser reflow,
`coating with spin-on glasses, polymers, and resists, thermally
`reflowing materials, dielectric deposition [ 141, and flowable
`oxides.
`In the following sections the present status of modeling
`CMP available from the literature is discussed. First, the basic
`ideas of CMP are described. Then an overview of existing
`models for CMP is given, including a discussion of their
`capabilities. Finally, the models are compared pointing out
`some controversial approaches to describe physical effects.
`
`A. CMP
`A schematic of a CMP machine is shown in Fig. 1 (view
`from top and cross section). A CMP machine uses orbital,
`circular and lapping motions. The wafer is held on a rotating
`carrier (holder) while the face being polished is pressed against
`a resilient polishing pad attached to a rotating platen disk.
`For oxide or silicon polishing, an alkaline slurry of colloidal
`silica (a suspension of Si02 particles) is used as the chemical
`
`Manuscript received December 10, 1994; revised March 23, 1995.
`G. Nanz is with Digital Equipment Corporation, Favoritenstrasse 7 (CEC
`Vienna), A-1040 Vienna, Austria.
`L. E. Camilletti is with Digital Equipment Corporation, Hudson, MA 01749
`USA.
`IEEE Log Number 9414529.
`
`Fig. 1. Schematic of chemical-mechanical polishing technique.
`
`abrasive. The size of the particles varies in literature between
`100 8, [19] and 3 pm [2]. According to [15], the size of the
`particles is between 600 8, and 800 A forming agglomerates of
`a size of 2500 8, in diameter. The slurry is carried to the wafer
`by the porosity of the polishing pad. This slurry chemically
`attacks the wafer surface, converting the silicon top layer to
`a hydroxilated form (with the OH- radical) which is more
`easily removed by the mechanical abrasive. The details of the
`formation of this top layer are not yet well understood [SI,
`(231.
`Gross mechanical damage of the surface is prevented by
`the fact that the colloidal silica particles in the slurry are not
`harder than the oxide being removed [8]. Otherwise the quality
`of the surface planarity would be limited by the diameter of
`the silica particles.
`CMP needs fewer steps compared to depositiodetchback
`[6]. Furthermore, CMP uses nontoxic substances, has a good
`removal selectivity, and a good rate control. Typical values
`of some essential parameters in the CMP process are given
`in Table I.
`Another advantage of CMP lies in the global planarization.
`Since the sizes of flat areas on a chip become smaller the
`quality of local planarization (in a global sense) becomes
`worse. Additional difficulties may arise for the filling of
`small holes. CMP reduces defect density, according to [15].
`Shortddefects due to residual metal can be significantly re-
`duced, as reported in [4]. However, real-life processes, if not
`controlled properly, will add a significant number of defects.
`For instance, as the pressure (down force) is increased, the
`
`08944507/95$04.00 0 1995 IEEE
`
`Raytheon2029-0001
`
`Sony Corp. v. Raytheon Co.
`IPR2015-01201
`
`

`
`NANZ AND CAMILLETTI: MODELING OF CHEMICAL-MECHANICAL POLISHING
`
`TABLE I
`TYPICAL VALUES FOR CMP PARAMETERS
`Reference I
`
`value
`
`Quantitr
`
`PolihinqPad
`n
`
`~
`
`383
`
`&mcnnl of Si02 (thermal)
`R e m d of Si02 (LPCVD)
`Polishing time
`Pressure (pad/wafff)
`Velocity of pad
`velacity of wafer
`
`risks also increase. There is a greater propensity for dislocation
`and defect generation and the possibility of diffusion or
`penetration of the slurry contaminants below the surface exists.
`CMP is applied for several types of structures: Bare silicon
`before any processing starts, metallization, the intermetal
`layer dielectric (ILD), and process silicon with dielectrics
`and metals. In the latter two cases the selective removal of
`materials such as aluminum, tungsten, and Si02 is necessary.
`
`11. MODELING AND SIhlLJLATION
`The main feature of CMP, namely the removal of
`material, is described by Preston’s equation:
`N ds
`d T
`-=K.-.-
`A
`d t
`d t
`where T denotes the thickness of the wafer, N / A denotes
`the pressure caused by the normal force N on the area A,
`s is the total distance traveled by the wafer, and t denotes
`the elapsed time. This means that the removal of the wafer
`material is proportional to the pressure and the velocity of the
`rotation. Any physical considerations are put into Preston’s
`constant K, which often is considered a proportionality con-
`stant (independent of pressure and velocity), but may also
`contain advanced physics and include the effects caused by
`the chemical reactions. However, the removal rate tends to
`dominate in real-life situations.
`Some models recently published are described below and
`discussed with respect to their limitations and their range of
`applicability.
`
`A. Model by Sivaram
`Sivaram et al. [16], [17] have developed a model which
`describes the removal according to (1) taking also into account
`the bending of the polishing pad.
`As an important physical effect CMP has to deal with
`bending [4]. A schematic of the pad and the wafer is drawn
`in Fig. 2. Due to the pressure on top of the polishing pad, the
`pad behaves locally like a beam which is supported by the
`blocks of material 2. Assuming that material 2 is inelastic, the
`deflection of the pad can be easily calculated. The solution w
`of the differential equation (2) describes the deflection of a
`beam with length 1
`
`d4 v
`E . I. - = W(.)
`dx4
`
`Fig. 2. Pad bending during CMP.
`
`(3)
`
`where E and I are Young’s modulus (elasticity modulus) and
`the moment of inertia, respectively, and w(z) denotes the load
`on the beam.
`For a uniform load W(Z) = WO and for the boundary
`conditions ~ ( z ) = 0 and M ( z ) = 0 for z E {O,Z), where
`M denotes the momentum (second derivative of w(z)), one
`obtains (3) as the solution of (2)
`- (z4 - 2 . 1 . z3 + i3. .).
`WO
`w(x) =
`2 4 . E . I
`Due to the deflection of the pad it may occur that material
`1 is affected by the pad. Therefore (3) is also a measure for
`the planarity which can be achieved.
`Discussion: The treatment of the deflection of the polishing
`pad is important since the quality of the planarization is
`affected by the bending. However, Sivaram ef al. consider only
`the effects of the bending between two neighboring peaks on
`the wafer. For a rigorous treatment of the deflection of the
`pad a wider range of the wafer must be considered requiring
`the calculation of the deflection of a multiply-supported beam.
`Additionally, the model assumes that the product E
`I for
`the polishing pad is the same or higher than for the platen
`(holding the polishing pad). This is usually not true. If the
`polishing pad is softer than the platen, the pad is compressed
`while the platen stays nearly flat. This effect is not included
`in the approach for the calculation of the beam bending.
`This model deals only with two-dimensional cross sections
`of the structure and neglects the slurry flow-thus
`the appli-
`cation is limited. For modeling purposes of the entire CMP
`process, a completely three-dimensional simulation would be
`necessary.
`
`1
`
`B. Model by Burke
`A model dealing with the polishing rate depending on
`the degree of nonplanarity has been proposed by Burke [l].
`The model has two stages: An analytical model which is
`based on the closed solution of a simple ordinary differential
`equation and a more complex model which iteratively adapts
`the polishing rate to the actual nonplanarity.
`DO denotes the percent polish rate of areas which are low
`compared to the polishing rate for the blanket-wafer (“down”
`polishing rate). This means that for small DO planarization
`is good (ideal for 0), and planarization stops for DO close
`to 1, since then the lower areas are polished in the same
`way as the higher areas (“up”). SO denotes the initial step
`
`Raytheon2029-0002
`
`

`
`~
`
`384
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 8, NO. 4, NOVEMBER 1995
`
`height associated with DO. Burke observes that for DO > 0.3
`the ‘down’ polishing rate is linear and for DO < 0.3 it is
`logarithmic.
`For DO < 0.3, and a constant polishing rate U for ‘up’ areas
`the polishing rate D for ‘down’ areas, is given by (4), where
`S is the actual step height, i.e., the amount of nonplanarity
`(
`D = l - ( l - D o ) - - S ) .U*
`SO
`The governing differential (5) looks similar to Preston’s
`equation (l), but it is different
`1-Do
`d S
`-
`SO
`dt
`where U has the dimension of a velocity similar to the term
`d s / d t on the right side of (1). However, U is a removal rate
`and not the relative velocity between the pad and the wafer.
`Burke integrates (5) and obtains the solution (6)
`
`s . u
`
`(4)
`
`S large
`Fig. 3. Arbitrary surface with ‘effects on the factors of the polishing rate.
`
`There is also a direct reciprocity between the Ai and Si at all
`n points, which is given by (8). It includes the assumption that
`the polishing rate is linearly proportional to the pressure
`
`si = exp (2)
`
`(9)
`
`Si is given by (9), where zo is a scaling factor for the vertical
`length scale and
`describes how much the surrounding
`topography protrudes above point i. The exponential function
`has been chosen to account for the rough nature of the pad.
`However, Warnock agrees that an improved formulation could
`be found, even though he claims that the model is not very
`sensitive with respect to the formulation
`
`z(r, 0) . W ( T ) dr dt? (10)
`
`W’(r,) dB
`
`(11)
`
`aZ, = & . /o
`.1 .(.,,e).
`1
`2.T
`aZ, M -
`2 . T
`
`/o
`
`Burke also proposes a more advanced differential model.
`The surface of the wafer is represented in the computer
`program as a two-dimensional topography given by the user.
`Then the polishing rate is adapted at each point according
`to the neighboring points similarly to the analytical model.
`Burke claims that corner rounding is predicted with sufficient
`accuracy.
`Discussion: This model takes into account the type of the
`nonplanarity of the wafer surface and adjusts the polishing
`rate accordingly. The model does not deal with pad bending,
`asperities or the fluid flow. Furthermore, the model is empirical
`and does not address the dependence of the polishing rate on
`the pressure. Even though it takes into account the entire wafer
`(and not only two-dimensional cross sections) it covers only
`a small part of the entire CMP process.
`
`C. Model by Wamock
`A model which allows the quantitative analysis of the abso-
`lute and the relative polish rate for different sizes and pattern
`factors has been presented by Warnock [22]. It is a microscopic
`mathematical model which is completely phenomenological.
`Due to the nonlocal nature of CMP, different length scales are
`necessary which are determined by the flexibility and hardness
`of the polishing pad. The horizontal length scale is determined
`by the pad deformation and the vertical length scale by the pad
`roughness. In this model, the polishing rate Pi at each point
`i of the wafer is given by (7)
`
`-
`Azi is obtained by integration over the surrounding topogra-
`phy of point i. In (lo), .(.,e)
`is the vertical height at the
`coordinates r and t? with respect to point i, and W ( r ) is a
`weighting function describing the horizontal length scale over
`which the pad deforms. Under reasonable assumptions (IO)
`can be simplified to (ll), where rm is the value of T which
`maximizes W ( T ) (as a function of e). W’(T,) as chosen by
`Warnock is given in (12). Thus, the deformation length scale
`is TO.
`The A; can be determined in an iterative process from the
`Si. Warnock claims that uniqueness is given and that a solution
`is obtained ‘after a large enough number of iterations.’
`The Ki are determined by calculating an effective vertical
`component of the horizontal polish rate. Thus, K; = 1 + KO .
`tan@,, where KO is a model parameter, and a; is the local
`(7)
`angle between the horizontal and the surface.
`where Ki is the kinetic factor (horizontal component), Ai is
`Discussion: This model gives a reasonable approach for
`the accelerating factor (higher points on the wafer), and Si is
`defining the dependence of the polish rate on the wafer shape,
`even though it is completely phenomenological. In particular
`the shading factor (lower points on the wafer). A schematic
`surface demonstrating the meaning of the coefficients Ki , Ai,
`this model takes into account all geometrical cases; therefore,
`and Si is shown in Fig. 3. In lower regions Si is large, thus
`it might be general enough to cover a part of the simulation
`reducing Pi; in higher regions A, is large, thus increasing Pi.
`of the entire CMP process. Furthermore, it is not limited to
`Ki may be large on a sloped surface depending on the slope.
`the two-dimensional case.
`
`Raytheon2029-0003
`
`

`
`NANZ AND CAMILLE'ITI: MODELING OF CHEMICAL-MECHANICAL POLISHING
`
`385
`
`D. Model by Yu
`The model by Yu et al. [23] deals with the dependence
`of the removal rate on the asperity of the polishing pad.
`From measurements asperities can be observed all over the
`polishing pad. The surface height variation is reported to be
`more than 100 pm on a 200 pm x 200 pm polishing pad. The
`asperities are assumed to be spherical at the summit and that
`the variations in height and radius are Gaussian-distributed in
`the model. Then Preston's constant K in (1) can be modified
`according to the new model, splitting it into three parts: One
`is a constant only determined by the pad roughness and the
`elasticity, one is a factor of surface chemistry and abrasion
`effects, and one is related to the contact area thus accounting
`for the asperity [ 151, i.e., the contact properties of the asperities
`depend on the width of a trench.
`The behavior of the pad is assumed to be viscoelastic in the
`model, which means that the deformation of the pad can be
`taken into account.
`Discussion: The model gives an approach how to deal with
`the asperities of the pad. However, it is not clear whether or
`how the asperities affect the global quality of planarization. A
`global planarization quantity of 200 8, over a distance of 0.5
`cm has been reported [20]. This makes further investigations
`necessary as to how this approach fits into a general CMP
`simulation model. Additionally, the validity of the assumptions
`for the radius and the height of the asperities seem to need
`further research.
`The reported variation in height of the polishing pad cer-
`tainly overshadows the nonplanarities of the wafer. It is even
`comparable with the thickness of the fluid layer between the
`pad and the wafer. This question is neither treated by the model
`nor discussed.
`
`E. Models by Runnels
`Runnels et al. [ 1014 121 propose several models accounting
`for the stress in the polishing pad and the fluid flow as well
`as the removal of material by erosion.
`I ) Flow ofthe Slurry: Runnels et al. propose a model ac-
`counting for the fluid flow between the wafer and the pad [ 111.
`A wafer of radius 10 cm and spherical curvature rotates
`about its axis of symmetry, which is approximately 30 cm
`from the pad's rotational axis. The wafer glides at an angle of
`attack 8 upon the slurry film whose thickness is denoted by
`h. The wafer carrier is mounted on a gimbal mechanism to
`prevent the wafer snagging on the pad. The model focuses on
`the flow of the slurry between the wafer and the pad. The flow
`simulation is embedded in an iterative scheme for determining
`h and 8. For the flow simulation, it is assumed that the wafer
`and the pad are rigid and smooth. Therefore, both the pad and
`the wafer can be described by boundary conditions for the flow
`of the slurry. Even though the slurry contains particles (with
`a magnitude of approximately 1 pm) the flow of the slurry is
`assumed to be Newtonian with a constant viscosity. Thus, the
`flow is given by the steady-state incompressible Navier-Stokes
`equations in three space dimensions (1 3)
`
`where p denotes the density, p is the dynamic viscosity, p is the
`pressure, and U' is the vector-valued function of the velocity
`at any point in the flow.
`The simulation domain consists of a thin disk bounded by
`the surfaces of the wafer and the pad, respectively, and a ring,
`representing the flow around the outside of the pad. For the
`wafer, the pad, and the sidewalls of the ring no-slip boundary
`conditions are applied-thus
`giving the fluid the velocity of
`the wafer, the pad, and the flow in the ring. For the remaining
`surfaces (mainly of the ring) stress-free boundary conditions
`are applied, thus allowing the fluid to enter and leave freely.
`Two conditions have to be fulfilled for the determination
`of the fluid layer. First the fluid layer must support the wafer
`carrier, including the applied load during polishing. The force
`F on the wafer surface from the fluid flow is given by (14),
`where o is the stress tensor related to the flow field by (15).
`Sij denotes the Kronecker symbol
`
`F = J
`U - f i d A
`wafer surface
`
`(14)
`
`The second condition is that the fluid is stable. This requires
`the moment of the force caused by the fluid film to have
`components which vanish in the plane perpendicular to the
`carrier's axis of rotation. The moment M f about the gimbal
`point from the fluid flow is given by (16), where
`denotes
`the distance of each point on the wafer measured from the
`gimbal point
`
`Mf =/
`
`(16)
`
`gg x a . n ' d A .
`wafer surface
`The thickness of the fluid layer h and the angle of attack
`0 are calculated by an iterative scheme satisfying that M f
`vanishes.
`Discussion: This model analyzes fluid flow. It turns out
`that stringent assumptions are necessary to put this complex
`problem into a mathematical model. The wafer surface is
`assumed to be spherical with a large radius in the model,
`which means that all questions about the polishing mechanism
`and the structure of the wafer surface are neglected. Further-
`more, Runnels admits that the validity of their assumptions
`is not completely clear and that their model can give only a
`qualitative estimation of the fluid layer thickness.
`The main result of this model is the thickness of the fluid
`layer between the wafer and pad. The simulation must be made
`for the entire wafer and be posed as a fully three-dimensional
`problem in order to determine this thickness correctly. The
`solution of the Navier-Stokes equations can be used for the
`modeling of the material removal rate.
`To the authors' knowledge this is the first work dealing with
`the slurry flow, which is an essential factor in modeling CMP.
`2) Removal by Erosion: Runnels proposes a model which
`calculates the removal as a consequence of erosion due to the
`slurry flow [lo].
`This two-dimensional feature scale model, which takes
`into account cross sections of the CMP machine, is mainly
`phenomenological.
`
`Raytheon2029-0004
`
`

`
`386
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 8, NO. 4, NOVEMBER 1995
`
`ux=y.uy=o 9
`I
`
`duny now
`
`Y
`
`inflow
`
`wafer
`
`nonplanarity of
`-...._
`wafer
`.....
`
`a...
`
`oumow
`
`...-
`
`....e-- ...-
`
`.....
`uz=o.uy=o
`
`*e..
`
`....a-
`
`Fig. 4. Geometry of erosion simulation domain.
`
`The fluid flow around a nonplanarity on the wafer (see
`Fig. 4) is given by the solution of the two-dimensional
`Navier-Stokes equations (13). The boundary conditions are
`also given in Fig. 4, where uz and uy denote the unknown
`velocities in 2- and y-direction, and U is the velocity of the
`pad. Again, the surface stress tensor is calculated by (15).
`The erosion rate V, in the normal direction is given by (17)
`as a function f of the time-dependent tangential and normal
`stresses Ut and U,
`
`Equation (17) is integrated in time and gives the shape of
`the wafer surface for any time.
`Runnels relates V, to Preston’s equation by an approxima-
`tion following heuristic arguments with formulas (1 8)-(22).
`The constant C represents microfracturing and chemical as-
`pects. A is the area of the wafer and P is the bulk pressure.
`An estimation of V, is given in (18). Equation (19) together
`with the approximation for slider bearings (20) leads to the
`formulation (21) which can be rewritten by (22), which is the
`same as Preston’s equation (1)
`
`Runnels also includes the stress dependence of the chemical
`reaction and an approximation for the rotation of the pad. This
`increases the complexity of the expression for V, and is still
`a matter of research and further modeling work.
`Discussion: The model is mainly based on the solution of
`the two-dimensional Navier-Stokes equations thus simulating
`the flow of the slurry in a cross section. The simulation setup
`is significantly more reasonable than in Runnels’ previous
`
`model. Since the Reynold‘s number seems to be small for
`this type of application no major numerical problems should
`be encountered. Therefore, the model is a good base for further
`extensions such as accounting for the pad deflection. Runnels
`leaves several questions about the physical modeling of the
`erosion law open and uses completely heuristic arguments to
`fill gaps in his model. The influence of the fluid flow on the
`erosion rate is only given by the stress tensor.
`The question of the boundary conditions for the Navier-
`Stokes equations along the wafer surface (vanishing velocities
`in beth coordinate directions) should be reconsidered.
`3) Deformation of Pad: Runnels et al. propose a model
`which accounts for the deflection of the polishing pad at the
`wafer edges and the resulting stress distribution [12].
`Preston’s equation (1) is reformulated leading to (23), where
`R is the removal rate
`
`R = K . P . 1 1 ~ 1 1 .
`(23)
`Runnels er al. claim that llwll should be replaced by IIITII,
`where U is the vector-valued shearing stress acting in the plane
`of the wafer surface while P can be interpreted as a stress
`perpendicular to the plane of the wafer.
`Several assumptions are made: 1) The transfer of stresses
`between wafer and pad are neglected, 2) the pad is assumed to
`be elastic, even though it is known to be viscoelastic-Runnels
`et al. claim that for the typical speeds of rotation an elastic
`representation is suitable, 3) the slurry flow is neglected.
`Therefore, two extremes are considered: Once the pad and
`the wafer adhere to each other, and once they are allowed to
`slide freely without stresses.
`The boundary of a planar wafer is considered for the
`analysis. The governing equations for the axisymmetric case
`(in polar coordinates) are given by (24), where U, and U,
`are the normal stresses in the radial and vertical directions,
`respectively, and T,., is the shear stress
`aTr,
`aa,
`-+-
`- U6
`aT
`az
`+-=O
`T
`a ~ z
` Trz
`aTrz
`ar
`-+-+--0.
`dz
`T
`The deflection of the pad is then related to the stress through
`Hooke’s law and the kinematic definitions of strain [31, [21].
`
`(24)
`
`Raytheon2029-0005
`
`

`
`NANZ AND CAMILLE7TI: MODELING OF CHEMICAL-MECHANICAL POLISHING
`
`From (23), where IlwII is replaced by the vector 1 1 ~ 1 1 of
`the stresses, it becomes clear that the removal rate is strongly
`affected by stresses. Runnels et al. have performed an analysis
`of the stress distribution close to the edge of the wafer and the
`curvature of the pad, and thus obtained a qualitative idea of
`the influence of these mechanical effects and compared the
`results with experimental data.
`Discussion: The replacement of
`IlwII by //all does not
`provide anything new. It just takes the effects of the stresses
`out of K and places them explicitly in the formulation. But
`the new formulation clearly shows that the stresses strongly
`influence the removal rate. The model seems to be well suited
`to perform a qualitative analysis of the influence of stresses
`on the removal rate. However, it is only applicable to the
`axisymmetric case of a planar wafer and does not give any
`information about the interior of a wafer. Furthermore, the
`different elastic behavior of the platen and the pad is neglected.
`Since the slurry flow and the velocity are neglected-in this
`model it is only applicable for the calculation of extreme cases
`such as adhesion and free slip between pad and wafer.
`
`F. Model by Cook
`Cook's model [2] is applicable to CMP for bare silicon
`wafers. However, many ideas are also applicable to the more
`general case of CMP for ILD.
`Cook starts from Preston's equation (1). The slurry is
`assumed to be a viscous Newtonian fluid with a viscosity of
`around 10gP with particles in it. The mechanical part of the
`interaction between polishing particles and the wafer surface
`can be described by a model with a spherical particle of
`diameter a, that penetrates the surface with force F, under the
`uniform load N . For a standard Hertzian penetration Preston's
`constant in (1) becomes (2 . E)-', where E denotes Young's
`modulus (modulus of elasticity). The surface roughness is the
`penetration depth R, given by (25), where k is the particle
`concentration (unity for a fully-filled closed packing) and
`P = N / A the pressure
`
`TABLE II
`PHYSICAL EFFECTS IN THE PRESENT MODELS
`
`Physical effect
`
`lark
`
`Pad bending 1D
`Pad deformation
`Asperity of pad
`Stress in pad
`Removal (erosion)
`Removal (abrasion)
`Slurry flow 2D
`Slurry flow 3D
`Nonplanar de^
`Chemical reaction
`
`r,=
`
`strength os of network bonds
`gt =0.5. (1 - 2 . U ) 'PO
`( 1 - 2 I 1-/2))
`( 3
`1 / 3
`- . N . - . - (27)
`E
`E'
`4
`2
`E
`Ffr = K ' r," . fb . -
`10'
`An extensive study of the chemical part is presented in [2].
`However, a discussion of it is considered out of the scope of
`this review.
`Discussion: Cook's model is the most elaborate modeling
`work for polishing. In particular, it deals with the mechanics
`of the polishing particles and with the chemical reactions. It
`covers almost all interesting topics and the method is clearly
`explained by an example (Si02 polished by SiOz-particles).
`This model is based on a different feature length compared
`to the models discussed previously. While Runnels et aZ.
`consider slurry as a fluid, Cook also deals with the particles
`and the particle size in this fluid. Therefore, additional work
`is necessary to combine Cook's model with other models in
`order to get a sufficiently general model for the entire CMP
`(25) process.
`
`Impingement of particles canied in the turbulent liquid
`leads to Hertzian penetration of the surface, converting ki-
`netic energy into strain energy. Local bonding during contact
`leads to weakening of binding forces at the surface, which
`allows atomic removal to occur without introducing lattice
`dislocations. For the case of a static Hertzian spherical indenter
`the maximum tensile stress at (26) and the friction force
`F f r (28) can be determined and used for the calculation of
`Preston's constant, where U denotes Poisson's constant for
`the polished material, PO = N / ( K . r,") is the mean contact
`pressure over a circular zone of radius T, (27), and U' and E'
`are Poisson's ratio and Young's modulus (elasticity modulus)
`of the polishing particle, respectively. K . r," is the contact
`area between the polishing particle and the polished material
`surface, fb is the fraction of the contact area in which bonding
`occurs, and E/10 is an estimate for the theoretical fracture
`
`111. COMPARISON OF THE MODELS
`A variety of effects is taken into account or neglected in
`the existing models. Some of the physical effects are listed
`in Table 11. Listing a reference does not mean that the model
`treats the problem rigorously. In particular, hardly any rigorous
`formulation of the dependence of Preston's constant K on the
`various effects can be found in literature.
`It becomes also clear from Table I1 that physical effects
`are treated in a controversial way by the different authors.
`For example, the treatment of the deformation of the pad has
`two different approaches: Elastic or viscoelastic deformation.
`While Runnels claims that an elastic formulation to describe
`the pad bending is sufficient for the typical speed of rotation,
`Yu explains some observations with the viscoelasticity of the
`pad. To get a more accurate description of the real behavior of
`the polishing pad and the platen a two-dimensional approach
`
`t
`
`Raytheon2029-0006
`
`

`
`388
`
`IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 8, NO. 4, NOVEMBER 1995
`
`consisting of the biharmonic equation [3J (two-dimensional
`formulation of (2)) for the platen and the corresponding
`equation for the viscoelastic deformation of the pad would
`be necessary. According to [18] the simple beam bending
`model can give an idea of important parameters that affect
`dishing. Nevertheless for the simulation of the deformation of
`the wafer and the pad a viscoelastic model (as proposed by Yu
`[23]) seems to be superior and more accurate than the elastic
`approach, even though many questions are still open.
`The nonplanarities of the wafer are treated in a very
`empirical way. Both Burke [l] and Warnock [22] use the full
`information about the nonplanarities of the entire wafer while
`the other authors (Sivaram [16] and Runnels [ll]) consider
`nonplanarities only locally. For a phenomenological descrip-
`tion of the effects caused by the nonplanarities Warnock‘s
`model see [22], which seems to be the most reasonable and
`probably needs some extensions.
`Another major contradiction can be seen in the explanation
`of the material removal: Erosion or abrasion. In most models
`abrasion is assumed to take place and the chemical effects are
`somehow included in Preston’s constant I(. It is also possible
`that both erosion and abrasion take place. Erosion of Si02
`has been reported and analyzed in combination with copper
`dishing [18]. Obviously the effects leading to the material
`removal are not yet completely understood.
`The slurry flow is only treated by Runnels. None of his
`proposed models are satisfying in their present form, since
`too many details are not yet fully understood. It is not clear
`whether the reason for neglecting the slurry flow by the other
`authors lies in the computational complexity of solving the
`three-dimensional Navier-Stokes equations or in the lack of
`information about the slurry layer even though the slurry flow
`is considered of critical importance [15]. Furthermore, the
`effects caused by particles contained in the slurry are not clear
`and need additional investigation.
`
`IV. CONCLUSION
`This review deals with the present status of modeling CMP.
`It tums out that only a few models exist, and that almost none
`of them are applicable in a general sense. The most important
`topics for modeling of CMP include the removal rate, which
`consists of a mechanical as well as a chemical contribution, the
`bending and the stresses of the pad, which strongly influence
`the quality of the planarization, and the transport and the
`flow of the slurry. Whether the primary removal mechanism
`is mechanical or chemical will also depend on the layer
`being removed and must be modeled accordingly. From the
`modeling point of view the flow of the slurry seems to be the
`most difficult question since the behavior of the fluid and the
`mechanical effects are not completely understood.
`CMP is in a very early stage of modeling since even the
`physical effects are not yet completely clear. Programs wh

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket