throbber

`
`SILICON PROCESSING
`
`FOR
`
`THE VLSI ERA
`
`VOLUMEI:
`
`PROGESS TEEHNDLOGY
`
`Second Edition
`
`STANLEY WOLF PM].
`
`RICHARD N. TAUBEB Ph.D.
`
`LATTICE PRESS
`
`Sunsel Beach, California
`
`2?
`
`
`\l
`ii
`
`
`
`IPR2015—01087
`
`Micron V. MIT
`
`MIT EXHIBIT 2016
`
`

`

`
`
`
`
`This publication is based on sources and information believed to be reliable, but the
`authors and Lattice Press disclaim any warranty or liability based on or relating to the
`contents of this publication.
`
`Published by:
`
`LATTICE PRESS
`Post Office Box 340
`
`Sunset Beach, California 90742, USA.
`
`Cover design by Roy Montibon, New Archetype Publishing, Los Angeles, CA.
`
`Copyright © 2000 by Lattice Press.
`All rights reserved. No part of this book may be reproduced or transmitted in any form
`or by any means, electronic or mechanical, including photocopying, recording or by any
`information storage and retrieval system without written permission from the publisher,
`except for the inclusion of brief quotations in a review.
`
`Library of Congress Cataloging in Publication Data
`Wolf, Stanley and Tauber, Richard N.
`
` DISCLAIMER
`
`Silicon Processing for the VLSI Era
`Volume 1: Process Technology
`
`Includes Index
`
`1. Integrated circuits—Very large scale
`integration. 2. Silicon. I. Title
`
`ISBN 0—9616721—6~1
`
`9 8 7 6 5 4 3 2
`
`PRINTED IN THE UNITED STATES OF AMERICA
`
`

`

`
`
`CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`207
`
`
`5.7 DVD 0F METALS, SILICIDES, AND NITRIDES FOB ULSI APPLICATIUNS
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`vvzwuuwzt—LLLW
`
`.—
`
`CVD has also been pursued as a thin-film deposition technology for a number of metals used as
`interconnects in ULSI, including tungsten, aluminum, titanium, and copper. Of this group, only
`CVD of tungsten has found wide acceptance as a production process in multilevel interconnect
`structures for technologies with feature sizes below 1 pm. As of 1999, CVD of the other metals
`has not been able to displace PVD (sputtering) as the main deposition technology. But the
`potential advantages of CVD (mainly with respect to step coverage and gap filling) continue to
`drive efforts to develop successful CVD techniques for the others. Here the recent status of the
`following conductor materials deposited by CVD:
`tungsten, tungsten silicide, and titanium
`nitride is discussed. The CVD of copper is covered in Chap. 15.
`
`5.7.1 CVD oi Tungsten (W)
`
`Refractory metals (i.e., W, Ti, Mo, and Ta) have been investigated for various applications in
`the interconnect systems of silicon ICs.3’78 Their resistivities are higher than those of Al and its
`alloys, but lower than those of the refractory metal silicides and nitrides. Of these metals,
`tungsten (W) ended up being adopted for several interconnect applications, although not as a
`stand-alone gate material nor as a global interconnect material. Instead it was selected to
`perform two other roles in IC interconnect systems. The most important of these is that of a plug
`(i.e., a material that can completely fill vias between aluminum films, as well as contact holes).
`It was chosen as a plug material because CVD—W provided better via—filling capabilities than
`did PVD aluminum at the time they were first implemented. That is, if contact holes and vias
`have minimum dimensions that exceed ~1.0 ,um, they can be adequately covered with Al films.
`Because PVD films until recently could not completely fill contact holes and vias, such PVD—
`filled structures were called non—filled-contacts (and ~vias). For technologies in which the
`minimum feature size is smaller than 1 pm the aspect ratios of contact holes and vias become so
`large that non-filled contact holes are no longer acceptable (due to excessive thinning of the
`PVD-Al films as they run down the sides of these steep and deep holes). A method to
`completely fill the contact holes was therefore sought. If it would have been possible to
`completely fill these holes with Al as well as was possible with CVD—W at that time, Al would
`have been used. But, since it was not, CVD-W prevailed, at least for several generations of
`technology (i.e., down to 0.18 pm). The second, somewhat lesser role of CVD-W is to serve as
`a local interconnect (for many of the same reasons listed above). The lower conductivity of W
`films compared to those of Al (or Cu), however, limits their use to short interconnect paths, and
`Al or Cu are retained for use as global interconnect materials. Here we describe the details of
`CVD—W film deposition. Note that although processes for forming CVD-W films both in
`selective79 and blanket deposition80 modes have been developed, most W applications in IC
`production use the latter.78 Selective~W, while appearing to possess many advantages, has not
`been widely adopted. This is because problems with loss of selectivity and substrate damage
`have not been completely overcome. As such, we will focus mainly on the blanket-W deposition
`process.
`
`CVD tungsten emerged as the most widely used of the refractory metals for interconnect
`applications for several reasons. First, it exhibits lower bulk resistivity than Ti 0r Ta, and about
`the same resistivity as Mo. (Note that the resistivity of tungsten films deposited by the hydrogen
`reduction of WF6 is in the range of 7-12 [JO—cm.) Second, it exhibits high thermal stability,
`
`
`
`

`

`208 SILICON PROCESSING FOR THE VLSI ERA
`
`having the highest melting point of all metals (3410°C). Third, it has low stress (<5x109
`dyn/cmz), excellent conformal step coverage, and its thermal expansion coefficient closely
`matches that of silicon. Finally, it has excellent electromigration and corrosion resistance but
`none of the stoichiometry control problems that often plague silicides. Some of its
`disadvantages include: a) its resistivity, although 200 times lower than that of heavily-doped
`polysilicon, is still about twice as high as that of Al—alloy films; b) W films adhere poorly to
`oxides and nitrides; c) oxides form on W films when temperatures exceed 400°C (and thus care
`must be exercised to prevent oxidation, especially during subsequent dielectric deposition); and
`d) silicidation of the tungsten occurs if it
`is in contact with silicon and is exposed to
`temperatures greater than 600°C.
`
`2 WF6 (gas) + 3 Si (solid) —> 2 W (solid) 4- 3 SiF4 (gas)
`
`This reaction is normally produced by allowing the WF6 gas to react with regions of exposed
`CVD tungsten
`Process
`
`(8.29]
`
`CVD Tungsten
`A
`
`
`
`
`
`
`
`
`
`
`
`5.7.1.1 CVD Tungsten Chemistry: The chemical vapor deposition of tungsten is generally
`performed in cold-wall, low~pressure systems (an example is shown in Fig. 6-46). Although
`tungsten can be deposited either from WF6 or WC16, tungsten hexafluoride (WF6) is better
`suited as the W source gas, since it is a liquid that boils below room temperature (17°C). On the
`other hand, WC16 is a solid that melts at 275°C. The low boiling point makes WF6 much easier
`to meter into process chambers in a reproducible way. The WF6 compound is produced by the
`reaction between tungsten and fluorine, and after several refining steps a very pure product can
`be routinely obtained (99.999%). The main drawback of WF6 is its high cost. In fact, it accounts
`for about 50% of the total cost of the blanket CVD—W process. The components within which
`the WF6 is flowed from its container to the reaction chamber must also be heated, to prevent
`WF6 condensation. Nevertheless, WF6 is the W source typically employed in all three of the
`reactions used in CVD-W, namely reduction of WF6 by: 1) silicon; 2) hydrogen; and 3) silane,
`since it can be reduced by all of these materials. The silicon reduction is given by:78
`
`Novellus Systems, Inc.
`
`
`
`
`
`null End ("mu
`blot
`
`Dual Luadlack
`Cassette Module
`
`
`
`Fig. 6-46 Schematic drawing of a Novellus Concept Two CVD tungsten deposition system. Courtesy of
`
`

`

` CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`
`
`209
`
`
`solid silicon on a wafer surface at a temperature of about 300°C. The silicon surface must be
`
`quite clean (i.e., covered with less than 1 nm of native or chemical oxide) to permit initiation of
`
`the reaction. About two volumes of Si are consumed (and volatilized as SiF4) for each volume
`
`of W formed. However, the reaction is self—limiting when the film reaches a thickness of 10w15
`
`nm,9'10 since the W film serves as a diffusion barrier between the Si and the WP6 once this
`thickness is reached. No deposit occurs on regions of the wafer covered with SiO2 during this
`reaction.
`
`The overall hydrogen reduction reaction is given by:
`
`WF6 (gas) + 3 H2 (gas) —> W(solid) + 6HF (gas)
`
`(6.30]
`
`The hydrogen reduction may result in either selective or non-selective (blanket) deposition of
`W. The process is carried out at reduced pressures, usually at temperatures below 450°C.
`Typically the process is carried out in a large excess of hydrogen. The change in the Gibbs free-
`energy for the reaction is -278 kcal/mole, and the film growth rate is reaction-rate limited up to
`a temperature of about 450°C. As noted above, the resistivity of W-films deposited by the
`hydrogen reduction is in the 7—12 ,uQ—cm range. The selective deposition reaction requires good
`nucleating surfaces. Silicon, metal, and silicide surfaces provide good sites, while SiO2 and
`Si3N4 (especially at low temperatures), do not. On a silicon surface the deposition starts by the
`Si reduction, but once the W thickness becomes self-limiting, the H2 reduction takes over. At
`the outset of the deposition the carrier gas used is Ar. After the Si reduces WF6, H2 is added to
`the gas flow, and the Ar flow is stopped. This deposition process is not self-limiting in
`thickness. A practical blanket-W process is more complex than the selective one because W
`does not adhere well to SiOz. Thus, an adhesion layer is first deposited onto the SiOz, and the W
`is then deposited onto it.
`The overall silane reduction reaction is given by:
`
`2 WF6 (gas) + 3 SiH4 (gas) w) 2 W (solid) + 3 SiF4 (gas) + 6 H2 (gas)
`
`[5.31]
`
`This reaction (LPCVD at ~300°C) is widely used to produce a W nucleation layer for the
`hydrogen reaction. Better nucleation is consistently obtained with the silane reduction on most
`surfaces, including TiN. Note that in the silane reduction, if the gas phase mixture has excess
`WF6, W films are formed by the reaction, but if there is a silane excess, WSix films are
`deposited. In addition,
`the silane reduction of Eq. 6—31 appears to be in conflict with
`thermodynamic predictions. That is, the hydrogen formed in this reaction is predicted to react
`more readily with the WF6 in the gas phase (to form HF) than is WF6 to react with the SiH4.
`However, experimental data indicates the reaction proceeds according to Eq. 6-31. This implies
`the SiH4 reduction proceeds far from equilibrium, and the formation of HF via reaction with
`WF6 is kinetically blocked (i.e., it is slow compared to the silane reaction with WF6 to form W
`and SiF4).
`As noted earlier, the chemical vapor deposition (CVD) of tungsten is performed in cold—
`wall, low-pressure CVD (LPCVD) reactors. The wafer is held on a heated chuck opposite a
`showerhead through which a premixed flow of WF6 and one of the reducing agent gases (H2, or
`SiH4) is injected. Note that hot—wall systems are not used for several reasons. First, in hot—wall
`systems W would also deposit on the quartz furnace-tube walls. Since W doesn't adhere to Si02
`such films would soon delaminate from the walls and create particles. Frequent cleaning would
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`

`

`6.7.1.2 Blanket CVD W and Etchback: Tungsten can be deposited by CVD using either a
`selective or blanket process. Only blanket~W deposition has emerged as a production—proven
`process, despite the fact that it
`is more complex and expensive than selective CVD—W.
`Acceptance of the selective-CVD process has been slowed because some of its problems have
`not been completely overcome, including those involving loss of selectivity of deposition and
`lateral encroachment and wormholes. On the other hand, blanket CVD-W and etchback (or
`
`CMP) has found widespread use for contact-hole and via filling applications in IC technologies
`below about 1 ,um. Both applications require adherent, low—cost films. The plug applications,
`however, call for high step-coverage and thickness uniformity, but can tolerate higher resistivity
`than is needed for W-films used as interconnects. For filling contact holes this W—plug-
`
`formation process has six steps:
`
`1. In situ surface pre-clean;
`2. Deposition of a contact forming layer (typically 3 Ti film formed by sputtering or CVD);
`3. Deposition of an adhesion/barrier layer (typically a TiN film formed by sputtering or
`CVD);
`
`4. Blanket-CVD of the W film (typically a two-step deposition process);
`5. Etchback of the W film:
`
`6. Etchback of the adhesion and contact—forming layers.
`
`210 SILICON PROCESSING FOR THE VLSI ERA
`
`be necessary to keep this problem under control. Furthermore, once the fused-silica furnace
`walls are coated with W they become opaque. IR radiation from the heating coils is no longer
`transmitted as efficiently through the walls as when the fused silica is transparent. Hence,
`temperature control of the wafers becomes a problem. Note that in cold-wall reactors, the wafers
`and their holders are the only hot objects in the chamber, with the wall temperatures being kept
`well below the temperature needed to drive the deposition reactions (<150°C). Thus,
`the
`problem of deposition on the chamber walls is curtailed (but note, not entirely eliminated).
`However, a large temperature gradient exists between the heated wafers and the chamber walls,
`which may also create temperature control difficulties in such reactors.
`
`to these insulators. Thus, a method which allows good adhesion of CVD—W to the substrate is
`
`The surface pre-cleaning step is designed to remove any native SiO2 material on the silicon in
`the contact holes or aluminum oxide on the aluminum in vias. Trends are moving toward
`making this an in situ cleaning step, so that the wafer surface is not exposed to atmosphere
`between the cleaning step and the deposition of the contact and adhesion layers. Most such in
`situ processes involve either an Ar sputter clean (discussed in Chap. 11) or a "soft" plasma
`clean. After the cleaning step, the contact and adhesion layers are deposited, either by CVD or
`sputter deposition. Currently, the most widely used materials for the contact and adhesion layers
`are Ti and TiN, respectively. The thin layer of Ti (30w50 nm thick) is used under the TiN
`adhesion layer because it provides lower contact resistance to the silicon substrate than is
`possible with TiN.100 The adhesion layer is needed because of the extremely poor adhesion of
`CVD W to such insulators as BPSG, thermal oxide, plasma—enhanced oxide and plasma—
`enhanced silicon nitride. Tungsten, however, adheres well to TiN, and TiN in turn, adheres well
`
`

`

`CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`
`211
`
`achieved.* A detailed discussion of depositing these layers is provided in later sections of this
`chapter, and etching of the W and TiN is described in Chap. 14.
`Next a layer of CVD-W is blanket deposited. For the W-plug applications the contact holes
`or vias must be completely filled. For this to occur the step coverage (in this case, defined as the
`ratio of the thickness at the sidewall at half depth of the hole to that of the nominal W thickness
`on the wafer surface) must be 100%. Otherwise, keyholes, or voids, will be formed (Fig. 6—47a),
`and these voids will become exposed during the subsequent W etchback step. If that happens,
`the contact holes or vias are no longer completely filled at the end of the W—plug formation
`process. In general, the hydrogen reduction gives better step coverage than the silane reduction,
`although the deposition rate of the former is significantly lower. However, W layers formed by
`the hydrogen reduction do not nucleate reliably on the TiN adhesion layers. So,
`in most
`commercial reactors a two—step blanket—W process is typically employed.”81 A thin layer of W
`is first nucleated using the silane reduction (several tens of nm thick). Then, the hydrogen
`reduction reaction is used to deposit the remainder of the blanket—W film. The silane reduction
`step is carried out at relatively low pressures (~1 torr), while the hydrogen reduction uses higher
`pressures (25-80 torr). Such higher chamber pressures during the hydrogen reduction process
`significantly improve the step coverage and produce void-free filled contact holes and vias (Fig.
`6—47b). As described in Chap. 3, the total chamber pressure is increased by throttling the
`pumping speed. The process is run at temperatures around 450°C, where the deposition still
`operates in the surface—reaction~rate—limited regime. Details of the higher~pressure hydrogen-
`reduction deposition process are give in Ref. 78. To get complete filling of the contact holes or
`vias, the slope of the contact sidewalls should not exceed 90°. A blanket~W processes using
`SinF2 and WF6 as the reactants has also been studied and the results published, with some
`advantages claimed over the hydrogen reduction process.82
`
`blanket CVD W film deposited into a trench without void formation.
`
`* TiN has been reported to have the best set of properties for this adhesion/barrier-layer application, and
`the best resistance to the etch gases used to etchback the CVD W.
`
`Fig. 6-147 a) Blanket—CVD W films deposited into a trench with a process that results in voids.83 b) A
`
`

`

`212 SILICON PROCESSING FOR THE VLSI ERA
`
`Several other issues encountered in the blanket-W process should be addressed, including:
`1. Stress in CVD-W films.
`
`. Deposition of W on the wafer backside and edges.
`
`. Particle formation resulting from the CVD-W process.
`. Resistance of the W-plugs in contact holes and vias.
`
`. Failure mode of the blanket-W process ('volcanoes‘).
`
`Stress in CVD-Wfilms: Thick blanket-W films exhibit high stress, and such stressed films may
`cause wafer warpage. However, this is generally not a concern for the W-plug process, since the
`majority of the film is removed during the etchback step. If the W layer is to be used to form
`interconnect lines the stress issue must be considered. A two—step deposition process has been
`suggested by Clark that produces W film with stress levels below the values that will cause
`wafer warpage.84
`
`each wafer is pro— cessed, an in situ NF3 plasma clean is also performed to remove any W on the
`chamber walls.
`
`Concept One-W® system. Courtesy of Novellus, Inc.
`
`Backside W Deposition: If W deposits on the back or edges of the wafer where no adhesion
`layer exists (as a result of reactants getting under the susceptor or heater block), this material
`will delaminate and produce unwanted particulates in the deposition chamber. Because W
`exhibits such good conformal coverage, backside deposition is inevitable unless deliberate
`measures are implemented to prevent or counteract it. In one reactor design (the Novellus
`Concept-One-W®), the wafer is vacuum clamped at its center to the pedestal on which it sits.
`Inert gas is continuously flowed at the wafer edges from behind the wafer to prevent the WF6
`from reaching the wafer backside and edges (Fig. 6-48). In another reactor design,
`it
`is
`recognized that backside deposition may occur, despite taking measures to purge the region
`beneath the wafer with inert gas. However, to ensure that no W remains, a plasma etch step after
`W deposition is used to clean the backside of each wafer (Applied Materials 5000 WCVD®).
`This etch is carried out so that the W deposited on the wafer frontside remains untouched. After
`
`Particle Formation: Particles in the blanket-W process can also arise from two other sources.
`First, if the adhesion layer is sputter deposited, the wafer may be held during that sputtering
`procedure by clips at the wafer edges. Thus, the wafer area under the clips does not get covered
`Gas/RF
`:Showerhead
`l'fi‘i“t—t—t'“t“i‘t“l”l—t“t‘t“t—t*l*t
`
`Aluminum
`Pedestal
`
`Backside Gas
`
`Thermal
`Insulator
`
`Heater Element
`
`Vacuum
`
`Flu. 6-48 The clampless method used to prevent deposition of W on the wafer backside in the Novellus
`
`

`

`
`
`CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`213
`
`
`
`is later blanket deposited on such regions will flake off and
`by the adhesion layer, and W that
`
`produce particles (either in the W-deposition chamber or in a later process step). Second, the W
`
`
`deposited on the chamber walls can also build up after a number of runs until it spalls (even in
`
`
`cold-wall reactors), again producing particulates in the chamber.
`In the Applied Materials
`single-wafer W tool, the etch process that removes backside W is used a second time for in situ
`
`
`cleaning of the chamber.85
`
`
`
`
`
`
`
`
`
`
`
`
`ay
`he
`
`aU
`
`SE
`
`
`
`
`Series Resistance of W~plugs2 For 1 ,um contacts the total resistance of W-plugs is about 0.5 9 if
`the resistivity of the W film is a typical 10 ,uQ-cm. When such plugs are used to fill contact
`holes, this resistance value is negligibly small compared to the contact resistance (which is of
`the order of 20 9). For vias, however, this plug resistance may be significant, as the via contact
`resistance is only of the order of 0.5 9. Furthermore, the resistance of the W—plug increases as
`the features shrink, becoming ~5 Qfor 0.3 pm contacts and vias.86 W plugs therefore become
`less desirable for deep-submicron technologies, and alternatives, such as Al or Cu plugs, are
`being pursued as replacements.
`
`Failure Mechanism Triggered During the Blanket-W Deposition: As noted, a Ti layer is usually
`used under a TiN layer. Besides serving as an adhesion layer, the TiN also acts as a diffusion
`barrier. That is, it also prevents the WF6 from reacting with the Ti beneath it.* However, if there
`is any defect in the TiN, or if the grain boundaries of the TiN are not properly "stuffed" (to
`prevent them from becoming high-speed diffusion paths for WF6 molecules), then the WF6 will
`penetrate the TiN layer and react with the Ti film (Fig. 6-49).87 Once this reaction begins, the Ti
`under the TiN is consumed by the formation of a volatile by-product Tin and the simultaneous
`nucleation of W on the oxide surfaces. The depositing W pushes away the segments of the TiN
`no longer supported by the Ti. More Ti
`is thus exposed and the reaction continues. The
`nucleating W grows into thick W mounds near the point of penetration, forming humps greater
`than 1 am in size. Since these humps or "volcanoes" are so large, they are not removed
`completely by the etchback process, and the residual conductive material leads to intralevel and
`interlevel shorts (see Fig. 6—50). The WF6 may now also attack the uncovered Si at the contact,
`causing the contact to fail.
`The columnar growth of PVD TiN films cause them to be more porous at the upper corners
`of contact holes and vias and thus prone to penetration there. The issue of volcanoes becomes
`more severe as the contact window size decreases, since the upper corners tend to be sharper.
`Rounding of the upper corners of contact holes and vias, or use of CVD TiN alleviates the
`problem of volcanoes in the blanket-W process.
`
`6.7.2 Chemical Vapor Deposition oi Tungsten Silieide [WSixl
`
`Various refractory metal silicides including WSix, TaSiz, and MoSi2 were explored in the 1980s
`as possible shunt materials in polycide structures. Tungsten silicide WSix films ended up being
`most widely adopted for this application, with CVD being the chosen method of forming WSiX
`thin films. Polycides with WSix films now find widespread use as word-line and bit—line
`interconnects in IC memory chips. WSix has also found some application as a stand-alone
`adhesion layer for blanket CVD-tungsten films. A process sequence for producing the WSiX
`
`** The chemical reaction of WF6 with Ti is as follows:
`
`2 WF6 (gas) + 3 Ti (solid) ~> 2 W (solid) + 3 TiF4 (gas)
`with a AG = -1037 kJ/mole at 500°C, which means that the reaction will proceed vigorously.
`
`
`
`
`
`

`

`214 SILICON PROCESSIVG FOR THE VLSI ERA
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`polycide gate structure is shown in Fig. 6—51. Note that WSiX is blanket-deposited onto the
`doped polysilicon film, and then this multilayer is etched to form the polycide gate structure.
`The CVD deposition of WSix won out over other candidate processes (i.e., evaporation and
`sputtering) for the following reasons: a) this process can produce high-purity WSix films
`without the need for high—vacuum deposition equipment; b) its throughput is acceptable; 0)
`better step coverage is obtained than with PVD; and (1) good wafer—to—wafer and run-to—run
`
`Reprinted with permission of Solid State Technology, published by PennWell.
`
`Fig. 6-49 Tungsten volcano from barrier failure: a) high stress and weak TiN at a sharp comer is attacked
`by WF6; b) Ti reacts with the WF6 and the TiN is peeled back; c) W deposits on both sides of the peeled
`back TiN, forming the volcanoes; d) contact hole with rounded top corners stays intact.
`
`Fig. 6-50 “Volcanoes” on vias where the TiN barrier has failed, and W is deposited under the TiN layer.88
`
`

`

`CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`
`215
`
`
`
`
`
`
`
`
`
`
`Fig. 6-51 Process sequence for producing a WSix polycide structure. a) Gate oxide growth; b) Polysilicon
`
`and WSix deposition by CVD; c) Pattern the polycide.
`uniformity is attainable. The first chemical reaction used to deposit WSix was the following, and
`it is capable of producing films with the benefits listed above:
`[6.32]
`WF6 (gas) + ZSiH4 (gas)
`——> WSi2 (solid) + 6HF (gas) + H2 (gas)
`Tungsten silicide film deposition using this reaction is carried out at pressures between 50-300
`mtorr (LPCVD) and at deposition temperatures between 300-400°C.89 This reaction is similar to
`the silane reduction of WF6 used to produce CVD-W films. As mentioned earlier, if it is desired
`that WSiX be deposited, a higher flow rate of SiH4 is needed. This ensures that the deposited
`film is WSiX and not W. During film deposition, WSi2 forms with excess Si collecting in the
`grain boundaries. Since an excess of silicon exists in such films, the chemical formula to denote
`them is given as WSiX. However, unless x 2 2.0, WSix films formed with this reaction are prone
`to cracking and peeling away from the underlying poly during later high—temperature steps. That
`is, the excess Si in the as—deposited silicide film avoids the possibility of consuming some of the
`underlying poly material, which could cause the above problems. Thus, in practice a SiH4/WF6
`flow ratio of more than 10 is used to ensure a deposition with x ~2.2—2.6. As-deposited WSix
`films exhibit high resistivity (~500 pQ—cm), but this drops to about 50 ,uQ-cm after an RTP
`anneal at 900°C. Note that the resistivity of CVD WSix also depends on its stoichiometry as is
`shown in Fig. 6-52, where x varies from 2.2 to 2.6. The resistivity of the film increases as it
`becomes progressively richer in Si.
`It was found that WSix films formed by the reaction of Eq. 6—32 had high fluorine
`concentrations (~1020/cm3). This creates problems when such films are used with gate oxides
`thinner than about 20 nm, because low-field oxide breakdown and threshold-voltage shifts are
`observed.35 Such problems arise because some of the fluorine gets incorporated into the gate
`oxide during anneal of the polycide stack. As a result, an alternative chemistry using
`dichlorosilane (DCS) or (SinClz) instead of SiH4 was developed for CVD of WSix;90
`[6.33]
`WF6 (gas) + 3.SSiH2C12 (gas) —«a WSi2 (solid) + 1.551c14 (gas) + 6HF (gas) + HCl (gas)
`This DCS process is also LPCVD and is carried out at 570—600°C. The fluorine content is much
`lower than in films formed with the silane reduction of Eq. 6-32, and the chlorine content is also
`low. The resistivity is comparable in both types of films but the step coverage is better in DCS
`
`
`
`
`
`
`Q5.
`
`Vms—A:v0
`
`
`
`
`
`
`

`

`410
`
`430
`
`450
`
`390
`T (°C)
`Flu. 5-52 Resistivity of CVD-WSiX versus x, where x varies from 2.2 to 2.6. Courtesy of Genus Inc.
`films. Peeling and cracking is less severe in WSiX films formed from the reaction of WF6 and
`DCS, and DCS is thus replacing silane in CVD WSix.
`Exposure of the WSix films to high-temperature oxygen ambients (900°C) will cause a
`dense adherent layer of Si02 to grow on the silicide while the polycide structure underneath
`remains intact. The oxide forms by reaction of oxygen with the excess Si dissolved in the
`silicide film, and continues after this silicon is consumed by diffusion of additional silicon from
`the polysilicon to the surface of the WSiX. The oxide-formation reaction occurs at the
`oxide/silicide interface.
`
`CVD WSix films are deposited in cold-wall reactors. Batch processes were initially used but
`single wafer systems have also been introduced. A process that
`integrates polysilicon
`deposition, polysilicon doping, and WSix deposition in a single cluster tool is available.91
`Advantages of such a configuration include cleaner processing and less cleanroom floor space.
`6.7.3 CW] 0! Titanium Nill’ide (TiN)
`
`216
`
`SILICON PROCESSING FOR THE VLSI ERA
`
`
`
`GrowthRate(nm/min) AO
`
`MO
`
`\
`
`
`
`330
`
`350
`
`370
`
`also satisfactorily perform this role when deposited into deep-submicron high—aspect-ratio
`
`In ULSI global interconnects (which use Al-alloy and Cu materials for the interconnect lines,
`and possibly also for contact and via filling), as well as in blanket—W processes that form W
`plugs and W local interconnects, supporting-role films are inevitably fabricated beneath these
`metals. Such films (consisting of refractory metal silicides and nitrides) serve two major
`purposes, depending on the metal they assist. For Al-alloy films they act as diffusion barriers to
`prevent the formation of intermetallic compounds that would destroy the contact behavior (i.e.,
`by shorting the shallow junctions below the contact or increasing the series resistance between
`the metal and silicon). For blanket layers of W and Cu they serve not only as diffusion barriers
`but also as adhesion layers (i.e., films to which Cu and W adhere well, and which in turn adhere
`well to the oxide below). Note that their role as diffusion-barriers in blanket-W processes
`actually has two purposes: 1) to prevent reaction of the contact—resistance—enhancing—Ti layer
`and WF6, which would cause "volcanoes" (see Sect. 6.6.1.2); and 2) to protect the silicon
`contact from damage by reaction with WF6. When Cu interconnects are used, such banriers must
`prevent Cu diffusion into the underlying Si substrate. In any case, these barriers must retain their
`function over the full range of temperatures encountered after their deposition, and they must
`
`

`

`
`
`
`CHEMICAL VAPOR DEPOSITION OF AMORPHOUS AND POLYCRYSTALLINE FILMS
`217
`
`
`
`
`
`
`
`
`
`
`
`‘ and
`
`se a
`eath
`the
`tom
`
`the
`
`but
`:on
`
`'91
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`contact holes and vias. As mentioned earlier, films that are required to perform both adhesion
`and diffusion—barrier functions are also termed liners. The deposition of liner films is done by
`either PVD or CVD. PVD technology has been the traditional deposition method, and work to
`improve this method continues. However, as shall be discussed in detail in Chap. 11 (and briefly
`here), CVD and ion metal plasma (IMP) PVD offer potentially better step coverage over sharp
`upper edges of contacts and at the bottom corners of deep submicron contacts and vias. Here we
`discuss the CVD of the most widely used liner film titanium nitride (TiN).
`TiN is an attractive material as a diffusion barrier in silicon ICs because it behaves not only
`as an impermeable barrier to silicon, but also as a barrier to other substances attempting to
`diffuse through it. In the latter cases, the activation energy for the diffusion of other impurities
`in TiN is high (e.g., the activation energy for Cu diffusion into TiN thin films is 4.3 eV, whereas ,
`the normal value for diffusion of Cu into metals is only 1 to 2 eV). TiN is also chemically and
`thermodynamically very stable (its melting point is 2950°C), and when in thin film form it
`exhibist one of the lowest electrical resistivities (25-75 yQ-cm) of the transition metal carbides,
`borides, and nitrides.
`
`The specific contact resistivity of TiN films to Si is somewhat higher than that of Ti (~10
`,uQ-cmz), and as a result TiN is ordinarily not used to make direct contact to Si. As discussed
`earlier,
`it is commonly used in contact structures together with an underlying layer of Ti
`(TiN/Ti/Si). Such contact structures exhibit very low specific contact resistivities to Si and
`remarkably high thermal stability. However, if a conventional reactive sputtering process is used
`to

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket