throbber
(12) United States Patent
`US 6,277,249 B1
`(10) Patent N0.:
`(45) Date of Patent:
`Gopalraja et al.
`Aug. 21, 2001
`
`USOO6277249B1
`
`(54)
`
`(75)
`
`INTEGRATED PROCESS FOR COPPER VIA
`FILLING USING A MAGNETRON AND
`TARGET PRODUCING HIGHLY ENERGETIC
`IONS
`
`Inventors: Praburam G0palraja, Sunnyvale;
`Jianming Fu, San Jose; Fusen Chen,
`Saratoga; Girish Dixit, San Jose;
`Zheng Xu, Foster City; Sankaram
`Athreya, Sunnyvale; Wei D. Wang,
`Santa Clara; Ashok K. Sinha, Palo
`Alto, all of CA (US)
`
`(73) Assignee: Applied Materials Inc., Santa Clara,
`CA (US)
`
`( * ) Notice:
`
`Subject to any disclaimer, the term of this
`patent is extended or adjusted under 35
`U.S.C. 154(b) by 0 days.
`
`(21) Appl. No.: 09/518,180
`
`(22)
`
`Filed:
`
`Mar. 2, 2000
`
`Related US. Application Data
`
`(63)
`
`(51)
`
`Continuation—in—part of application No. 09/490,026, filed on
`Jan. 21, 2000.
`
`Int. Cl? ........................... C23C 14/35; c23c 14/00;
`c23c 14/34
`
`................................ 204/192.12; 204/298.19;
`(52) US. Cl.
`204/298.2; 204/298.21; 204/298.22; 204/298.16;
`204/298.17; 204/298.18; 204/298.12; 204/192.17;
`204/192.15
`
`(58) Field of Search ............................ 204/298.19, 298.2,
`204/298.21, 298.22, 298.16, 298.17, 298.18,
`298.12, 192.12; 192.15; 192.17
`
`(56)
`
`References Cited
`U.S. PATENT DOCUMENTS
`
`5,069,770 * 12/1991 Glocker ........................... 204/192.12
`
`5,178,739
`1/1993 Barnes et al.
`..... 204/192.12
`.................. 204/298.17
`5,482,611
`1/1996 Helmer et al.
`
`FOREIGN PATENT DOCUMENTS
`
`W0 00/48226
`A1
`
`8/2000 (W0) ............................. H01J/37/34
`
`OTHER PUBLICATIONS
`
`Kitamoto et al., “Compact sputtering apparatus for depos-
`iting Co—Cr alloy thin films in magnetic disk,” Proceedings:
`The Fourth International Symposium on Sputtering &
`Plasma Processes, Kanazawa, Japan, Jun. 4—6, 1997, pp.
`519—522.
`
`(List continued on next page.)
`
`Primary Examiner—Mark F. Huff
`Assistant Examiner—Daborah Chacko-Davis
`
`(74) Attorney, Agent, or Firm—Charles S. Guenzer
`
`(57)
`
`ABSTRACT
`
`A target and magnetron for a plasma sputter reactor. The
`target has an annular trough facing the wafer to be sputter
`coated. Various types of magnetic means positioned around
`the trough create a magnetic field supporting a plasma
`extending over a large volume of the trough. For example,
`the magnetic means may include magnets disposed on one
`side within a radially inner wall of the trough and on another
`side outside of a radially outer wall of the trough to create
`a magnetic field extending across the trough,
`to thereby
`support a high-density plasma extending from the top to the
`bottom of the trough. The large plasma volume increases the
`probability that
`the sputtered metal atoms will become
`ionized. The magnetic means may include a magnetic coil,
`may include additional magnets in back of the trough top
`wall to increase sputtering there, and may include confine-
`ment magnets near the bottom of the trough sidewalls. The
`magnets in back of the top wall may have an outer magnet
`surrounding an inner magnet of the opposite polarity. The
`high aspect ratio of the trough also reduces asymmetry in
`coating the sidewalls of a deep hole at the edge of the wafer.
`An integrated copper via filling process includes a first step
`of highly ionized sputter deposition of copper, a second step
`of more neutral, lower-energy sputter deposition of copper
`to complete the seed layer, and electroplating copper into the
`hole to complete the metallization.
`
`(List continued on next page.)
`
`27 Claims, 11 Drawing Sheets
`
`180
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`
`142
`
`190
`
`TSMC et al. v. Zond, Inc.
`GILLETTE-1109
`
`Page 1 of 22
`
`TSMC et al. v. Zond, Inc.
`GILLETTE-1109
`Page 1 of 22
`
`

`

`US 6,277,249 B1
`Page 2
`
`U.S. PATENT DOCUMENTS
`
`.................. 204/298.19
`4/1996 Bourez et a1.
`5,512,150 *
`12/1996 Lantsman ............. 204/19233
`5,589,041
`5,685,959 * 11/1997 Bourez et a1.
`..
`204/298.2
`5,685,961
`11/1997 Bourez et a1.
`..
`.
`
`5,865,961
`2/1999 Yokoyama ........... 204/19212
`
`..... 204/19212
`5,897,752 *
`4/1999 Hong et a1.
`.
`
`6/2000 Miyaura .......................... 204/192. 12
`6,080,284
`OTHER PUBLICATIONS
`
`.. 204/1922
`
`Yamazato et al., “Preparation of T1N thin Films by facing
`targets magnetron sputtering,” Proceedings: The Fourth
`International Symposium on Sputtering & Plasma Pro-
`cesses, Kanazawa, Japan Jun. 4—6, 1997, pp. 635—638.
`
`Musil et al., “Unbalanced magnetrons and new sputtering
`systems With enhanced plasma ionization,” Journal of Vac-
`cum Science and Technology A, vol. 9, No. 3, May/Jun.
`1991, pp. 1171—1177.
`
`Matsuoka et al., “Dense plasma production and film depo-
`sition by new high—rate sputtering using an electric mirror,”
`Journal of Vacuum Science and TechnologyA, vol. 7, No. 4,
`Jul/Aug. 1989, pp. 2652—2657.
`
`Ivanov et al., “Electron energy distribution function in dc
`magnetron sputtering discharge,” Vacuum, vol. 43, No. 8,
`1992, pp. 837—842.
`
`* cited by examiner
`
`GILLETTE-1109 / Page 2 of 22
`
`GILLETTE-1109 / Page 2 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 1 0f 11
`
`US 6,277,249 B1
`
`16
`
`I
`
`5
`
`34 /
`3O 25
`3
`32
`
` 12 I,“
`I! ~
`:
`13
`I 42
`i‘
`/I
`
`
`_"-—
`
`4g
`
`
`
`
`
`CONTROLLER
`
`FIG.
`
`1
`
`GILLETTE-1109 / Page 3 of 22
`
`GILLETTE-1109 / Page 3 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 2 0f 11
`
`US 6,277,249 B1
`
`
`
`GILLETTE-1109 / Page 4 of 22
`
`GILLETTE-1109 / Page 4 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 3 0f 11
`
`US 6,277,249 B1
`
`82
`
`94
`
`88
`36 as 30
`-—-‘Li'IiT-__
`
`
`“-1 84
`
`
`
`£3
`
`
`
`
`
`CONTROLLER
`
`FIG. 3
`
`GILLETTE-1109 / Page 5 of 22
`
`GILLETTE-1109 / Page 5 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 4 0f 11
`
`US 6,277,249 B1
`
`102
`
`
` ‘q r‘fi‘villllll.‘
`
`
`
`711”
`
`II
`
`
`
`CONTROLLER
`
`FIG. 4
`
`GILLETTE-1109 / Page 6 of 22
`
`\I l\)
`
`GILLETTE-1109 / Page 6 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 5 0f 11
`
`US 6,277,249 B1
`
`126 03
`
`.......Y.............;Q
`VII"7””,
`gn\\\\\\ \‘j
`
`O I
`
`II—l}?
`
`3’8-‘II‘IA‘
`
`CONTROLLER
`
`FIG. 5
`
`GILLETTE-1109 / Page 7 of 22
`
`GILLETTE-1109 / Page 7 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 6 0f 11
`
`US 6,277,249 B1
`
`140
`
`
`
`
`-_T1:T-!g-
`
`150
`
`GILLETTE-1109 / Page 8 of 22
`
`GILLETTE-1109 / Page 8 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 7 0f 11
`
`US 6,277,249 B1
`
`160
`
`
`
`
`170
`
`GILLETTE-1109 / Page 9 of 22
`
`GILLETTE-1109 / Page 9 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 8 0f 11
`
`US 6,277,249 B1
`
`180
`
`
`
`GILLETTE-1109 / Page 10 of 22
`
`GILLETTE-1109 / Page 10 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 9 0f 11
`
`US 6,277,249 B1
`
`200
`
`
`
`2.0
`
`1.5
`
`SPUTTERING
`
`YIELD
`
`1,0
`
`0.5
`
`O
`
`O
`
`200
`
`400
`
`600
`
`F]G 13
`
`Cu ION ENERGY (eV)
`
`GILLETTE-1109 / Page 11 of 22
`
`GILLETTE-1109 / Page 11 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 10 0f 11
`
`US 6,277,249 B1
`
` ““““
`
`“““‘“
`
`
`
`'7.é
`
`GILLETTE-1109 / Page 12 of 22
`
`GILLETTE-1109 / Page 12 of 22
`
`

`

`US. Patent
`
`Aug. 21, 2001
`
`Sheet 11 0f 11
`
`US 6,277,249 B1
`
`230
`
`232
`
`234
`
`236
`
`238
`
`PATTERNED
`INTER—METAL
`
`DIELECTRIC
`
`SPUTTER DEPOSIT
`BARRIER
`
`HIGH ENERGY
`IONIZED COPPER
`
`SPUTTER
`
`NEUTRAL LOW ENERGY
`COPPER SPUTTER
`DEPOSITION
`
`ELECTRO CHEMICAL
`PLATE COPPER
`
`FIG. 16
`
`GILLETTE-1109 / Page 13 of 22
`
`GILLETTE-1109 / Page 13 of 22
`
`

`

`US 6,277,249 B1
`
`1
`INTEGRATED PROCESS FOR COPPER VIA
`FILLING USING A MAGNETRON AND
`TARGET PRODUCING HIGHLY ENERGETIC
`IONS
`
`RELATED APPLICATION
`
`This application is a continuation in part of Ser. No.
`09/490,026, filed Jan. 21, 2000.
`
`FIELD OF THE INVENTION
`
`The invention relates generally to a plasma sputter reac-
`tor. In particular, the invention relates to the sputter target
`and associated magnetron used in a sputter reactor and to an
`integrated via filling process using sputtering.
`
`BACKGROUND ART
`
`A semiconductor integrated circuit contains many layers
`of different materials usually classified according to whether
`the layer
`is a semiconductor, a dielectric (electrical
`insulator) or metal. However, some materials such as barrier
`materials, for example, TiN, are not so easily classified. The
`two principal current means of depositing metals and barrier
`materials are sputtering, also referred to as physical vapor
`deposition (PVD), and chemical vapor deposition (CVD).
`Of the two, sputtering has the inherent advantages of low
`cost source material and high deposition rates. However,
`sputtering has an inherent disadvantage when a material
`needs to filled into a deep narrow hole, that is, one having
`a high aspect ratio, or coated onto the sides of the hole,
`which is often required for barrier materials. Aspect ratios of
`3:1 present challenges, 5:1 becomes difficult, 8:1 is becom-
`ing a requirement, and 10:1 and greater are expected in the
`future. Sputtering itself is fundamentally a nearly isotropic
`process producing ballistic sputter particles which do not
`easily reach the bottom of deep narrow holes. On the other
`hand, CVD tends to be a conformal process equally effective
`at the bottom of holes and on exposed top planar surfaces.
`Up until the recent past, aluminum has been the metal of
`choice for the metallization used in horizontal interconnects.
`
`Vias extending between two levels of copper can also be
`formed of copper. Contacts to the underlying silicon present
`a larger problem, but may still be accomplished with copper.
`Copper interconnects are used to reduce signal delay in
`advanced ULSI circuits. Due to continued downward scal-
`
`ing of the critical dimensions of microcircuits, critical elec-
`trical parameters of integrated circuits, such as contact and
`via resistances, have become more difficult to achieve. In
`addition, due to the smaller dimensions, the aspect ratios of
`inter-metal features such as contacts and vias are also
`
`increasing. An advantage of copper is that it may be quickly
`and inexpensively deposited by electrochemical processes,
`such as electroplating. However, sputtering or possibly CVD
`of thin copper layers onto the walls of via holes is still
`considered necessary to act as an electrode for electroplating
`and as a seed layer for the electroplated copper. The dis-
`cussion of copper processes will be delayed until later.
`The conventional sputter reactor has a planar target in
`parallel opposition to the wafer being sputter deposited. A
`negative DC voltage is applied to the target sufficient to
`ionize the argon working gas into a plasma. The positive
`argon ions are attracted to the negatively charged target with
`sufficient energy to sputter atoms of the target material.
`Some of the sputtered atoms strike the wafer and form a
`sputter coating thereon. Most usually a magnetron is posi-
`tioned in back of the target
`to create a magnetic field
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`2
`adjacent to the target. The magnetic field traps electrons,
`and,
`to maintain charge neutrality in the plasma, the ion
`density also increases. As a result, the plasma density and
`sputter rate are increased. The conventional magnetron
`generates a magnetic field principally lying parallel to the
`target.
`Much effort has been expended to allow sputtering to
`effectively coat metals and barrier materials deep into nar-
`row holes. High-density plasma (HDP) sputtering has been
`developed in which the argon working gas is excited into a
`high-density plasma, which is defined as a plasma having an
`ionization density of at least 101lcm'3 across the entire
`space the plasma fills except the plasma sheath. Typically, an
`HDP sputter reactor uses an RF power source connected to
`an inductive coil adjacent to the plasma region to generate
`the high-density plasma. The high argon ion density causes
`a significant fraction of sputtered atoms to be ionized. If the
`pedestal electrode supporting the wafer being sputter coated
`is negatively electrically biased, the ionized sputter particles
`are accelerated toward the wafer to form a directional beam
`
`that reaches deeply into narrow holes.
`HDP sputter reactors, however, have disadvantages. They
`involve a relatively new technology and are relatively
`expensive. Furthermore, the quality of the sputtered films
`they produce is often not
`the best,
`typically having an
`undulatory surface. Also, high-energy ions, possibly the
`argon ions attracted as well to the wafer, tend to damage the
`material already deposited.
`Another sputtering technology, referred to as self-ionized
`plasma (SIP) sputtering, has been developed to fill deep
`holes. See, for example, US. patent application Ser. No.
`09/373,097 filed Aug. 12, 1999 by Fu and US. patent
`application Ser. No. 09/414,614 filed Oct. 8, 1999 by Chiang
`et al. Both of these patent applications are incorporated by
`reference in their entireties. In its original implementations,
`SIP relies upon a somewhat standard capacitively coupled
`plasma sputter reactor having a planar target in parallel
`opposition to the wafer being sputter coated and a magne-
`tron positioned in back of the target to increase the plasma
`density and hence the sputtering rate. The SIP technology,
`however, is characterized by a high target power density, a
`small magnetron, and a magnetron having an outer magnetic
`pole piece enclosing an inner magnetic pole piece with the
`outer pole piece having a significantly higher total magnetic
`flux than the inner pole piece. In some implementations, the
`target is separated from the wafer by a large distance to
`effect
`long-throw sputtering, which enhances collimated
`sputtering. The asymmetric magnetic pole pieces causes the
`magnetic field to have a significant vertical component
`extending far towards the wafer, thus enhancing and extend-
`ing the high-density plasma volume and promoting transport
`of ionized sputter particles.
`The SIP technology was originally developed for sus-
`tained self-sputtering (SSS) in which a sufficiently high
`number of sputter particles are ionized that they may be used
`to further sputter the target and no argon working gas is
`required. Of the metals commonly used in semiconductor
`fabrication, only copper is susceptible to SSS resulting from
`its high self-sputtering yield.
`The extremely low pressures and relatively high ioniza-
`tion fractions associated with SSS are advantageous for
`filling deep holes with copper. However,
`it was quickly
`realized that the SIP technology could be advantageously
`applied to the sputtering of aluminum and other metals and
`even to copper sputtering at moderate pressures. SIP sput-
`tering produces high quality films exhibiting high hole
`filling factors regardless of the material being sputtered.
`
`GILLETTE-1109 / Page 14 of 22
`
`GILLETTE-1109 / Page 14 of 22
`
`

`

`US 6,277,249 B1
`
`3
`Nonetheless, SIP has some disadvantages. The small area
`of the magnetron requires circumferential scanning of the
`magnetron in a rotary motion at the back of the target. Even
`with rotary scanning, radial uniformity is difficult to achieve.
`Furthermore, very high target powers have been required in 5
`the previously known versions of SIP. High-capacity power
`supplies are expensive and necessitate complicated target
`cooling. Lastly, known versions of SIP tend to produce a
`relatively low ionization fraction of sputter particles, for
`example, 20%. The non-ionized fraction has a relatively
`isotropic distribution rather than forming a forward directed
`beam as the ionized particles are accelerated toward a biased
`wafer. Also, the target diameter is typically only slightly
`greater than the wafer diameter. As a result, those holes
`being coated located at the edge of the target have radially
`outer sidewalls which see a larger fraction of the wafer and
`are more heavily coated than the radially inner sidewalls.
`Therefore, the sidewalls of the edge holes are asymmetri-
`cally coated.
`Other sputter geometries have been developed which
`increase the ionization density. One example is a multi-pole
`hollow cathode target, several variants of which are dis-
`closed by Barnes et al. in US. Pat. No. 5,178,739. Its target
`has a hollow cylindrical shape, usually closed with a circular
`back wall, and is electrically biased. Typically, a series of
`magnets, positioned on the sides of the cylindrical cathode
`of alternating magnetic polarization, create a magnetic field
`extending generally parallel
`to the cylindrical sidewall.
`Helmer et al. in US. Pat. No. 5,482,611 disclose a hollow
`cathode target in which an axially polarized tubular magnet
`surrounds the sides of the hollow cathode and extend in back
`
`10
`
`15
`
`20
`
`25
`
`30
`
`of the cathode back wall to create a generally axial magnetic
`field but which forms a cusp at the cathode back wall.
`Another approach uses a pair of facing targets facing the
`lateral sides of the plasma space above the wafer. Such 35
`systems are described, for example, by Kitamoto et al. in
`“Compact sputtering apparatus for depositing Co—Cr alloy
`thin films in magnetic disks,” Proceedings: The Fourth
`International Symposium on Sputtering & Plasma
`Processes, Kanazawa, Japan, Jun. 4—6, 1997, pp. 519—522, 40
`by Yamazato et al. in “Preparation of TiN thin films by
`facing targets magnetron sputtering, ibid., pp. 635—638, and
`by Musil et al. in “Unbalanced magnetrons and new sput-
`tering systems with enhanced plasma ionization,” Journal of
`Vacuum Science and TechnologyA, vol. 9, no. 3, May 1991, 45
`pp. 1171—1177. The facing pair geometry has the disadvan-
`tage that the magnets are stationary and create a horizontally
`extending field that is inherently non-uniform with respect to
`the wafer.
`
`Musil et al., ibid., pp.1174, 1175 describe a coil-driven 50
`magnetic mirror magnetron having a central post of one
`magnetic polarization and surrounding rim of another polar-
`ization. An annular vault-shaped target is placed between the
`post and rim. This structure has the disadvantage that the soft
`magnetic material forming the two poles, particularly the 55
`central spindle, are exposed to the plasma during sputtering
`and inevitably contaminate the sputtered layer. Furthermore,
`the coil drive provides a substantially cylindrical geometry,
`which may not be desired in some situations. Also,
`the
`disclosure illustrates a relatively shallow geometry for the 60
`target vault, which does not take advantage of some possible
`beneficial effects for a concavely shaped target.
`It is thus desired to combine many of the good benefits of
`the different plasma sputter reactors described above while
`avoiding their separate disadvantages.
`Returning now to copper processing and the structures
`that need to be formed for copper vias, it is well known to
`
`65
`
`4
`those in the art that in a typical copper interconnect process
`flow, a thin barrier layer is first deposited onto the walls of
`the via hole to prevent copper from diffusing into the
`isolating dielectric layer separating the two copper levels
`and also to prevent
`intra/intermetal electrical shorts. A
`typical barrier for copper over silicon oxide consists of a
`combination of Ta/TaN, but other materials have been
`proposed, such as W/WN and Ti/TiN among others. In a
`typical barrier deposition process, the barrier layer is depos-
`ited using PVD to form a continuous layer between the
`underlying and overlying copper layers including the contact
`area at the bottom of the via hole. Thin layers of these barrier
`materials have a small but finite transverse resistance. A
`
`structure resulting from this copper interconnect process
`flow produces a contact having a finite characteristic resis-
`tance (known in the art as a contact or via resistance) that
`depends on the geometry. Conventionally, the barrier layer
`at the bottom of the contact or via hole contributed about
`30% of the total contact or via resistance.
`
`As a result, there is a need in the art for a method and
`apparatus to form a contact between underlying and over-
`lying copper layers having a low contact resistance without
`unduly complicating the process.
`A copper layer used to form an interconnect is conve-
`niently deposited by electrochemical deposition,
`for
`example, electroplating. As is well known, an adhesion or
`seed layer of copper is usually required to nucleate an
`ensuing electrochemical deposition on the dielectric side-
`walls as well as to provide a current path for the electro-
`plating. In atypical deposition process, the copper seed layer
`is deposited using PVD methods, and the seed layer is
`typically deposited on top of the barrier layer. A typical
`barrier/seed layer deposition sequence also requires a pre-
`clean step to remove native oxide and other contaminants
`that reside on the underlying metal that has been previously
`exposed in etching the via hole. The pre-clean step, for
`example, a sputter etch clean step using an argon plasma, is
`typically performed in a process chamber that is separate
`from the PVD chamber used to deposit the barrier and seed
`layers. With shrinking dimension of the integrated circuits,
`the efficacy of the pre-clean step, as well as sidewall
`coverage of the seed layer within the contact/via feature
`becomes more problematical.
`As a result, the art needs a method and apparatus that
`improves the pre-clean and deposition of the seed layer.
`SUMMARY OF THE INVENTION
`
`The invention includes a magnetron producing a large
`volume or thickness of a plasma, preferably a high-density
`plasma. The long travel path through the plasma volume
`allows a large fraction of the sputtered atoms to be ionized
`so that their energy and directionality can be controlled by
`substrate biasing.
`In one embodiment of the invention, the target includes at
`least one annular vault on the front side of the target. The
`backside of the target includes a central well enclosed by the
`vault and accommodating an inner magnetic pole of one
`polarity. The backside of the target also includes an outer
`annular space surrounding the vault and accommodating an
`outer magnetic pole of a second polarity. The outer magnetic
`pole may be annular or be a circular segment which is
`rotated about the inner magnetic pole.
`In one embodiment, the magnetization of the two poles
`may be accomplished with soft pole pieces projecting into
`the central well and the outer annular space and magneti-
`cally coupled to magnets disposed generally behind the well
`
`GILLETTE-1109 / Page 15 of 22
`
`GILLETTE-1109 / Page 15 of 22
`
`

`

`US 6,277,249 B1
`
`5
`and outer annular space. In a second embodiment, the two
`poles may be radially directed magnetic directions. In a third
`embodiment, a magnetic coil drives a yoke having a spindle
`and rim shape.
`In one advantageous aspect of the invention, the target
`covers both the spindle and the rim of the yoke as well as
`forming the vault, thereby eliminating any yoke sputtering.
`According to another aspect of the invention, the relative
`amount of sputtering of the top wall or roof of the vault
`relative to the sidewalls may be controlled by increasing the
`magnetic flux in the area of the top wall. An increase of
`magnetic flux at the sidewalls may result in a predominantly
`radial distribution of magnetic field between the two
`sidewalls, resulting in large sputtering of the sidewalls.
`One approach for increasing the sputtering of the top wall
`places additional magnets above the top wall or roof with
`magnetic polarities aligned with the magnets just outside of
`the vault sidewalls. Another approach uses only the top wall
`magnets to the exclusion of the sidewall magnets. In this
`approach,
`the back of the target can be planar with no
`indentations for the central well or the exterior of the trough
`sidewalls. In yet another approach, vertically magnets are
`positioned near the bottom of the vault sidewalls with
`magnetic polarities opposed to the corresponding magnets
`near the top of the vault sidewalls, thereby creating semi-
`toroidal fields near the bottom sidewalls. Such fields can be
`
`adjusted either for sputtering or for primarily extending the
`top wall plasma toward the bottom of the trough and
`repelling its electrons from the sidewalls. A yet further
`approach scans over top wall a small, closed magnetron
`having a central magnetic pole of one polarity and a sur-
`rounding magnetic pole of the other polarity.
`The target may be formed with more than one annular
`vault on the side facing the substrate. Each vault should have
`a width of at least 2.5 cm, preferably at least 5 cm, and more
`preferably at least 10 cm. The width is thus at least 10 times
`and preferably at least 25 times the dark space, thereby
`allowing the plasma sheath to conform to the vault outline.
`The invention also includes a two-step sputtering process,
`the first producing high-energy ionized copper sputter ions,
`the second producing a more neutral, lower-energy sputter
`flux. The two-step process can be combined with an inte-
`grated copper fill process in which the first step provides
`high sidewall coverage and may break through the bottom
`barrier layer and clean the copper. The second step com-
`pletes the seed layer. Thereafter, copper is electrochemically
`deposited in the hole.
`After forming a first level of metal on a wafer and pattern
`etching a single or dual damascene structure for a second
`level of metal on the wafer, the wafer is processed in a PVD
`cluster tool to deposit a barrier layer and a seed layer for the
`second metal level.
`
`Instead of using a pre-clean step (for example, a sputter
`etch clean step), in accordance with one aspect of the present
`invention, a simultaneous clean-deposition step (i.e., a self-
`cleaning deposition step) is carried out. The inventive self-
`cleaning deposition is carried out using a PVD definition
`chamber that produces high-energy ionized material.
`In
`accordance with one embodiment of the present invention,
`the high-enrgy ions physically remove material on flat areas
`of a wafer. In addition, the high-energy ions can dislodge
`material from a barrier layer disposed at the bottom of a
`contact/via feature. Further, in accordance with one embodi-
`ment of the present invention, wherein an initial thickness of
`the barrier layer is small, the high-energy ions can removed
`enough material from the barrier layer to provide direct
`
`10
`
`15
`
`20
`
`25
`
`30
`
`35
`
`40
`
`45
`
`50
`
`55
`
`60
`
`65
`
`6
`
`contact between a seed layer and the underlying metal (for
`example, between a copper underlying layer and a copper
`seed layer). In addition to providing direct contact between
`the two copper layers, the inventive sputtering process also
`causes redeposition of copper over sidewalls of the contact/
`via to reinforce the thickness of the copper seed layer on the
`sidewall. This provides an improved path for current
`conduction, and advantageously improves the conformality
`of a layer subsequently deposited by electroplating.
`
`BRIEF DESCRIPTION OF THE DRAWINGS
`
`FIG. 1 is a schematic cross-sectional view of a first
`
`embodiment of a magnetron sputter reactor of the invention
`using a stationary, circularly symmetric magnetron.
`FIG. 2 is a schematic cross-sectional diagram illustrating
`the collimating function of the target of the invention.
`FIG. 3 is a schematic cross-sectional view of a second
`
`embodiment of a magnetron sputter reactor of the invention
`using a rotating, segmented magnetron with vertically mag-
`netized magnets.
`FIG. 4 is a schematic cross-sectional view of a third
`
`embodiment of a magnetron sputter reactor of the invention
`using a rotating, segmented magnetron with radially mag-
`netized magnets.
`FIG. 5 is a schematic cross-sectional view of a fourth
`
`embodiment of a magnetron sputter reactor of the invention
`using an electromagnetic coil.
`FIG. 6 is a cross-sectional view of a fifth embodiment of
`
`a magnetron of the invention using additional magnets at the
`roof of the trough to increase the roof sputtering.
`FIG. 7 is a cross-sectional view of a sixth embodiment of
`
`a magnetron of the invention using only the trough magnets.
`FIG. 8 is a cross-sectional view of a seventh embodiment
`
`of a magnetron of the invention using additional confine-
`ment magnets at the bottom sidewall of the trough.
`FIG. 9 is a cross-sectional view of an eighth embodiment
`of a magnetron of the invention using a closed magnetron
`over the trough roof and separate magnets for the trough
`sidewalls.
`
`FIGS. 10—12 are cross-sectional view of ninth through
`eleventh embodiments of magnetrons of the invention.
`FIG. 13 is a graph of sputtering yield as a function of
`copper ion energy.
`FIGS. 14 and 15 are cross-sectional views illustrating the
`effects of high-energy ionized sputter deposition.
`FIG. 16 is a flow diagram of an integrated copper via fill
`process.
`
`DETAILED DESCRIPTION OF THE
`PREFERRED EMBODIMENTS
`
`The invention uses a complexly shaped sputter target and
`a specially shaped magnetron which have the combined
`effect of impressing a magnetic field producing a thick
`region of relatively high plasma density. As a result, a large
`fraction of the atoms sputtered from the target can be ionized
`as they pass through the plasma region. Ionized sputtered
`particles can be advantageously controlled by substrate
`biasing to coat the walls of a deep, narrow hole.
`A magnetron sputter reactor 10 of a first embodiment is
`illustrated in the schematic cross-sectional view of FIG. 1. It
`
`includes a specially shaped sputter target 12 and magnetron
`14 symmetrically arranged about a central axis 16 in a
`reactor otherwise described for the most part by Chiang et al.
`in the above referenced patent. The target 12 or at least its
`
`GILLETTE-1109 / Page 16 of 22
`
`GILLETTE-1109 / Page 16 of 22
`
`

`

`US 6,277,249 B1
`
`7
`interior surface is composed of the material to be sputter
`deposited. The invention is particularly useful for sputtering
`copper, but it may be applied to other sputtering materials as
`well. As is known, reactive sputtering of materials like TiN
`and TaN can be accomplished by using a Ti or Ta target and
`including gaseous nitrogen in the plasma. Other combina-
`tions of metal targets and reactive gases are possible. The
`target 12 includes an annularly shaped downwardly facing
`vault 18 facing a wafer 20 being sputter coated. The vault
`could alternatively be characterized as an annular roof. The
`vault 18 has an aspect ratio of its depth to radial width of at
`least 1:2 and preferably at least 1:1. The vault 18 has an
`outer sidewall 22 outside of the periphery of the wafer 20,
`an inner sidewall 24 overlying the wafer 20, and a generally
`flat vault top wall or roof 25 (which closes the bottom of the
`downwardly facing vault 18). The target 12 includes a
`central portion forming a post 26 including the inner side-
`wall 24 and a generally planar face 28 in parallel opposition
`to the wafer 20. The target 12 also includes a flange 29 that
`is vacuum sealed to the chamber body of the sputter reactor
`10.
`
`The magnetron 14 illustrated in FIG. 1 includes one or
`more central magnets 30 having a first vertical magnetic
`polarization and one or more outer magnets 32 of a second
`vertical magnetic polarization opposite the first polarization
`and arranged in an annular pattern. In this embodiment the
`magnets 30, 32 are permanent magnets, that is, composed of
`strongly ferromagnetic material. The inner magnets 30 are
`disposed within a cylindrical central well 36 formed
`between the opposed portions of the inner target sidewall 24
`while the outer magnets 32 are disposed generally radially
`outside of the outer target sidewall 22. A circular magnetic
`yoke 34 magnetically couples tops of the inner and outer
`magnets 30, 32. The yoke is composed of a magnetically soft
`material, for example, a paramagnetic material, such as
`$8410 stainless steel, that can be magnetized to thereby
`form a magnetic circuit for the magnetism produced by the
`permanent magnets 30, 32. Permanently magnetized yokes
`are possible but are difficult to obtain in a circular geometry.
`A cylindrical inner pole piece 40 of a similarly magneti-
`cally soft material abuts the lower ends of the inner magnets
`30 and extend deep within the target well 36 adjacent to the
`inner target sidewall 24. If the magnetron 14 is generally
`circularly symmetric,
`it is not necessary to rotate it for
`uniformity of sputter deposition. A tubular outer pole piece
`42 of a magnetically soft material abuts the lower end of the
`outer magnets 32 and extends downwardly outside of the
`outer target sidewall 22. The magnetic pole pieces 40, 42 of
`FIG. 1 differ from the usual pole faces in that they and the
`magnets 30, 32 are configured and sized to emit a magnetic
`field B in the target trough 18 that is largely perpendicular
`to the magnetic field of the corresponding associated mag-
`nets 30, 32. In particular, the magnetic field B is generally
`perpendicular to the target trough sidewalls 22,24.
`This configuration has several advantages. First, the elec-
`trons trapped by the magnetic field B, although gyrating
`about the field lines, otherwise travel generally horizontally
`and radially with respect tot he target central axis 16. The
`electrons strike the target sidewalls 22, 24 and are re-emitted
`at angles generally isotropic with respect to the magnetic
`field B. That is, electron loss is minimized, thus increasing
`the plasma density. Secondly, the depth of the m

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket