throbber
Science and Technology
`
`edited by
`
`James R. Sheets
`
`Bruce W. Smith
`
`—-
`
`1
`
`-—
`
`ZE|SS’l127
`
`

`
`
`
`Bruce W. Smith
`Rochester Institute of "I’ecImology
`Rochester, New York
`
`
`
`Sciefice and Tec:hn010gy
`
`edited by
`
`James R. Sheets
`HewIeft~Packczrd laboratories
`Palo Alto, Calfiornin
`
`NEW YORK - BASEL BEKKER
`
`MARCEL DEKKER, INC.
`
`

`
`
`
`Library of Congress C'ataloging~|n—Ful3lication Data
`
`
`
`The publisher offers discounts on this book when ordered in hulie quantities. For
`more information, write to Special Sales/Professional Marketing at the address
`below.
`
`’I‘his book is printed on acitkfree paper.
`
`Copyright © 1998 by MARCEL DEKKER, INC. All Rights Reserved.
`
`Neither this book nor any part may be reproduced or transmiued in any form or
`by any means, eiectrcmic or meclumieal, ineiuding photocopying, microfilxning,
`and recordixag, or by any information storage and retrievai system, without‘
`permission in writing from the publisher.
`
`MARCEL DEKKER, INC.
`270 Madison Avenue, New York, New York 10016
`Imp.‘//wmv.dekker.com
`
`PRINTED IN THE UNITED STATES OF AMERICA
`
`
`
`
`
`Sheais, James R.
`Microlithography: science and teclmoiogy 1 James R. Sheets, Bruce W’. Smith.
`p.
`cm.
`Includes bibliographim! refiarenccs and index.
`ISBN 0-8247-9953-4 (aik. paper)
`I, Microlithography. 2. Integrated cireuits—Masks. 3, Meta} oxide semiconductors,
`Comp1ementmy—~—Design and construction.
`4. Manufacturing processes
`1. Smith,
`Bruce W. U. Titie.
`TK7836.S46 H398
`62I.38l5‘3l-~dc21
`
`9846713
`CI?
`
`
`
`

`
`
`
`1. System Overview of Optical Stoppers and Scanners
`Michael S. Hibbs
`1.
`Introduction
`2. The Lithographic Exposure System
`3.‘ Variations on a Theme
`4. Lithographic Light Sources
`5. Optical Considerations
`6. Latent Image Formation
`7. The Resist Image
`8. Alignment and Overlay
`9. Mechanical Considerations
`
`Conte nts
`
`iii
`
`1
`
`1
`2
`5
`15
`26
`30
`37
`43
`55
`
`72
`'76
`
`83
`87
`101
`106
`
`‘I6
`
`
`
`ztron
`robe
`mi-
`st of
`l the
`
`‘tears
`lmith
`
`Preface
`
`PART I: Exposure Systems
`
`Chapter
`
`10. Temperature and Environmental Control
`11. Mask Issues
`
`12. Control of the Lithographic Exposure System
`13. Optical Enhancement Techniques
`14. Lithographic Tricks
`References
`
`

`
`
`
`1
`
`
`
`System Qverview of Gptical
`$ieppers and Scanners
`
`Michael S. Hibbs
`
`IBM .MicraeIec!rom'c Division
`Essex Jzmcrioxz, Vermont
`
`
`
`
`
`V
`
`5
`
`‘
`
`I
`
`V Inrrnooucrlom
`1 _
`':Mir:rolitl1ogr‘aphy is a,n1anofacturing process for producing highly accurate, mi-
`croscopic, iwo—dimensi.onal patterns in a photosensitive resist material. These pat~
`terns are repilcas of a master pattern on a durable phoiomask, typically made of
`a thin patterned. layer of clironiinm on a transparent glass p1aie.At the end of the
`iithographio process, the photoresist is used to create a useful structure in the de-
`vice that is being built. For example, trenches can be etched into an insulator, or
`.a’ uniform coating of metal. can beetcheci to leave a network of eiecnicai wiring
`onrhe surface of 21 semiconductor chip. Microlithography is used at every stage
`~_ofUthe semicondiictor rnannfacturing process. An advanced memory chip can
`have 20 orrnore masking levels, and approximately one tliirrl of the total cost of
`semiconductor manufacture can be attributed to microlithograpliic processing.
`The progress of microlithography has been measured by the ovensmaller sizes
`of .the images that can be printed. There is a strong economic incentive for im~
`_ (‘proving lens resolution. A decrease in minimum image size by a factor of 2 leads
`j ma factor of 4 increase in the number of circuits zimt can be built on a given area
`of vtlie semiconductor chip, as well as significant increases in switching speeds. Ii:
`‘inns been traditional to define a decrease in minimurn image size by nfacior of
`‘N ~1N§ as a new litliograpiiic generation. These lithographic generations are roughly
`1 coincident with generations of dynamic rancloni-access memory (DRAM) chips,
`‘which are defined by an increase in memory storage by a factor of 4. Table l.
`1
`
`

`
`
`
`2
`
`Hfbbs
`
`Overview of Optical Steppers r
`
`Table 1 Seven Lithographic and DRAM Generations
`
`4096
`l024
`256
`64
`I6
`4
`l
`DRAM storage (mcgabits)
`
`Minimum image size (pm) 0.13 1.00 0.70 0.50 0.35 0.25 0.18
`
`
`
`
`
`
`
`l:_,___..,_l
`
`[3:11]
`
`shows the correspondence of lithographic and DRAM generations. About half of
`the 4x increase per generation in DRAM capacity is due to the reduced litho-
`graphic image size, and the remaining increase is accomplished by advances in
`design techniques and by increasing the physical dimensions of the DRAM. His-
`torically, there have been about 3 years between.1ithograplu‘c generations. with
`leadingazdge manufacturing at 0.35 pm starting in 1995.
`
`2 THE l_l'THlI3§fiAPHlC EXPOSURE SYSTEM
`
`At the heart of the microlithographic process is the exposure system. This
`complex piece of machinery projects the image of a desired photomaslc pattern
`onto the surface of the semiconductor device being fabricated on a silicon
`wafer. The image is captured in El thin layer of a resist material and trans- ‘
`formed into a pcmiarient part of the device by a series of chemical etch or de~
`position processes. The accuracy with which the pattern must be formed is
`astonishing: lines smaller than a micron must be produced with dimensional
`tolerances of a few tens of nanometers, and the pattern must be aligned with
`underlying layers of patterns to better than one fourth of the minimum line
`width, All of these tolerances must be met throughout an exposure field of sev~
`eral square centimeters. A litltographlc exposure system filling an enclosure the
`size of a small office and costing several million dollars is used to meet these
`severe requirements.
`4
`An exposure system for optical microlithography cotisists of three parts: a
`‘lithographic lens, an illumination system, and :1 wafer positioning system. A
`typical’ exposure system will be described in detail, followed by an expanded
`description of the many possible variations on the typical design.
`
`2.1 The Lithographic Projection Lens
`
`The lithographic lens is a physically large, compound lens. It is made up of 10
`to 20 simple lens elements, mounted in a massive, rigid barrel. The total as
`sembly can weigh more than 100 ‘pounds. The large number of elements is,
`needed to correct optical aberrations to a very high degree over a 30-min cir-
`cular field of exposure. The lens is designed to produce an optical image of a
`photomask, reduced by a dernagnification of 5X. A silicon wafer, containing
`hundreds of partially fabricated integrated circuits,
`is exposed to this image
`The image is captured by a layer of photosensitive resist, and this latent image
`
` <«:r;I~//r\<((((l’,(¢((6( «(spies,;4w%«c«\w5;..,s,,;l,,,,¢:Wtr§rgM¢.,c,,,,«
`
`-.,‘.
`
`lw-,««;~5w,««,~m
`
`,
`
`
`
`C‘\.-~...._/
`5%
`
`\,/>._\
`
`.
`
`E_:__,:}
`
`:3
`
`Optical layout oft-1
`Figure '1
`was designed in 1985. More reset
`more complex
`
`will eventually be chemical];
`Every aspect of the lens dcsig
`duce the smallest possible in’
`only by fundamental cliffractl
`wavefront aberration at every
`of the optical wavelengthl Ti‘
`plaoarity by more than about
`the maximum transverse geo‘
`pm. The lens is corrected fr
`wavelengths centered on the i
`
`2.2 The lllumination S
`
`The illumination source for
`
`mercury arc larnp. An elliptic
`desired wavelengths are remo
`ing 365~nm light is sent throu
`and is then projected through
`
`

`
`Overview of Optical Stoppers and Scanners
`
`C::::l
`
`Optical layout of a smali—field, experimental lithographic lens. This lens
`Figure 1
`was designed in 1985. More recent lenses used in commemiol microlithography are even
`more complex.
`
`will eventually be chemically developed to leave the desired resist pattern.
`Every aépect of the ‘lens design has extrenieiy tight tolerances. in order to pro-
`duce the smallest. possible images, the resolution of the lens must be limited
`only ‘by_ ‘fundamental diffraction effects. In "practice, this means that the total
`wavefront aberration at every point in the exposuife field must. be less than ill!)
`of the optical wavelength. The focal plane of the lens must not deviate from
`planarityisy more than about 0.1 turn over the entire usable exposure field, _and
`the ‘maximum transverse geometrical distortion must be less than about 0.05
`tun. The lens is corrected for chromatic aberration over :1 narrow range of
`.\vave'lengths centered on the illumination wavelengtli of 365 nm.
`
`l
`
`i
`
`j 2.2 The Illumination" Subsystem
`Tire illumination source for the exposure system is a 1000~W, high~pressure
`mercury arc lampt An elliptical mirror is used to collect this light, and the un-
`desired wavelengths are removed with multilayer dielectric filters. The remain;
`'
`ififg 365-nm light is sent through a series of relay optics and uoiformizing op‘ti¢§i
`arid“ is then projected through the pliotomask, Nonunifomiity of the illumination 4
`
`r
`
`

`
`
`
`
`
`
`
`Hibbs
`
`
`
`Figure 2 A rather simple, experimental illurninator. Laser light is randomized in a
`light tunnel (a), then projected through a series of five lenses and two folding mirrors
`onion photomttsk (1)). This illuminator was designed to be used with the lithographic
`lens in Fig.
`l.
`
`intensity at the photomask must be less than about 1%. The light continues
`through the photomaslc to form an image of the effective illumination source in
`the entrance pupil of the lithographic lens. The fraction of the pupil filled by
`the illumination source's image determines the degree of coherence in the litho~
`graphic lens’s image formation, The light traversing the entire chain of illumi-
`nator and lithographic lens optics forms an image with an intensity of a few
`hundred mW/cmi. A fast shutter within the illurninator assembly exposes the
`photoresist to the image for a few tenths of a second. The integrated energy of
`each exposure must be repeatable to within 1%. Although the tolerances of the
`illuminator are not as tigiitns those of the lithographic lens, its optical quality
`must he surprisingly high. Severe aberrations in the illumination optics‘ will pro-
`duce a variety of problems in the final image, even if there are no aberrations
`in the lithographic lens.
`
`2.3 The Wafer Positioning Subsystern
`
`The wafer positioning system is one of the most precise mechanicai systems
`used in any technology today. A silicon tvefct‘, typically 150 to 200 mm in di-
`
`Ovmriew of Optical Sleppers .
`
`nmeter, may contain lOO or rr
`chip in its turn must be physit
`lithographic lens and held in :
`ing the exposure. To expose ti
`held by a vacuum chuck on 2
`determined by laser interferoi
`stage has less than 1 second to
`tle to within the alignment tol
`quence of stepping from one
`to be called a stepwanckrepeat
`per." Prior to exposure, the pa
`rately as possible with an ant
`standardized ‘alignment marks
`levels of lithography, The pool
`rlety of optical detection techr
`can be used, but at minimum
`its ;r- and Mranslation error
`image. The positioning systeir
`tolerance before each exposur
`The stepper must also autc
`sition this surface at the Corr
`
`stepper lens within a tolerance
`over a large exposure field, it
`along two orthogonal axes. T
`that the focus tolerance will
`
`ously, so the automated focus
`the wafer.
`During the entire process
`exposing, and unloading, spec
`per that can expose 60 wafer
`twice as fast as a stepper that
`
`3 VARiATlOl\!S ON A
`
`The typical stepper outlined ir
`ment for semiconductor mico
`
`of other styles of equipment l
`alions were the historical pre
`still in use today, earning thei
`density seniiconductor desigr
`cializeri niches in the lithogr
`someday become the new Sifl
`
`
`
`

`
`
`
`
`
`
`
` ()verview of Optical Stepper: m2c2Scamtr1rs
`5
`ameter, may contain N10 or more semiconductor devices, called “chips? Each
`chip in its turn must be physically aligned to the image being projected by the
`lithographic lens and held in alignment with a tolerance of about 100 nm dur-
`ing the exposure. To expose all the chips on a wafer sequentially, the wafer is
`held. by a vacuum chuck on an ultrztprecision x-y stage. The stage position is
`determined by laser intcrferornetry to an accuracy of better than 20 nm. The
`stage has less than 1 second to move between successive exposure sites and set.
`no to within the alignment tolerance before the next exposure begins. This se~
`quencc oi‘ stepping from one exposure to the next has led this type of system
`to be called a step-and-repeat lithographic system, or more informally a “step
`per." Prior to exposure, the position of the wafer must be determined as accu-
`rately as possible with an automatic alignment system. This system looks for
`standardized alignment marks that were printed on the wafer during previous
`levels of lithogtaphyt The position of these marks is determined by one of 3 va~
`riety of optical. detection techniques. A number of different alignment strategies
`can he used, but at mininntm the within-plane rotation error of the wafer and
`its .r- and yhanslation errors must be determined relative to the projected
`image. The positioning system must reduce these errors to within the alignment
`tolerance before each exposure begins.
`The stepper must also nutornatically detect the surface of the resist and po~
`sition this surface» at the correct height to match the exact focal plane of the
`stopper lens within a tolerance of about 200 nm. In order to meet this tolerance
`over a large exposure field, it is also necessary to detect and correct tilt errors
`along two orthogonal axes. The wafer surface is not flat enough to guarantee
`that the focus tolerance will be satisfied everywhere on the wafer simultane-
`ously, so the automated focus procedure is repeated at every exposure site on
`the wafer.
`During the entire process of loading a wafer, aligning, stepping, focusing,
`exposing, and unloading, speed of the process is. of utmost importance. A step.-
`pcr that can expose 60 wafers in an hour can pay back its huge capital cost
`twice as fast as a stepper tiianican manage only 30 wafers perhour.
`
`
`
`
`3 VARIATIONS on A THEME
`The typical stepper outlined in the previous section has been the standard equip-
`ment for semiconductor niicrolithograpity for the past I0 years. But a number
`of other styles of equipment have been used as W6”! Some of these other vari-
`ations werc the historical predecessors of today’s stoppers. l.\»iany of them are
`still in use today, earning their keep by providing low-cost lithography for low-
`density semiconductor designs. Other variations on the basic design fill spe-
`cialized niches in the lithography market or represent new designs that may
`
`someday become the new standard,
`
`
`
`

`
`6
`
`Hibbs
`
`Overview of Optical Snappers
`
`1
`
`3.2 X-ray Proximity Li
`
`A more modern variation of o
`graphy. The diffructive effect:
`very short wavelengths of the
`responding to 3 t-1<eV x-ray
`Et factor of 300 relative to opt
`resolution by a factor of aho
`the best resolution of any litl
`back from large~scale manufar
`dies {Z}. The electron synchr
`and must support a very higl
`able. Since a single electron s
`a dozen wafer aligners or mo
`tion on an entire manufaeturi
`Each x-ray mask alignmer
`absorption and scattering of I
`and masks. to and from the e
`The most challenging fean
`of producing the lx membrar
`making infrastructure in the 5
`5x rectuction masks, considt
`are needed to produce the rut
`ductions in line‘ width toleran
`
`proximity lithography has 1)
`support of national governn
`continued progress of optical
`imity lithography in the role
`ably continue to be the c
`difficulties at image dimensit
`may become the dominant it
`taken by one of the other ex
`the stage of laboratory Lresea
`
`3.3
`
`1>< Scanners
`
`in the 19703, optical proxirr
`scanning lithography [3]. C)
`mask through a lens system
`as that used by at proximity
`enough to cover the entire V»
`masks are no longer damage
`surface. It would be difficult
`
`
`
`3.1 Optical Contact Printing and Proximity Printing
`
`The earliest exposure systems were Contact printers and proximity printers. In
`these systems, 21 chrome-on-glass mask is held in close proximity or in actual
`contact with a photoresist-covered wafer, The resist is exposed through the back
`side of the mask by a flood exposure source. The mask pattern covers the en-
`tire wafer and is necessarily designed with a magnification of ix. Alignment is
`accomplished by an operator manipulating a mechanical stage to superimpose
`two previously printed alignment marks on the wafer with corresponding align-
`ment marks on the mask. Alignment of the two pairs of marks is verified by
`the operator through a spliotield microscope that can view opposite sides of the
`wafer simultaneously. The wafer and mask can be aligned with respect to rota-
`tion and displacement on two orthogonal axes.
`Contact printing provides higher resolution than proximity printing but at the
`cost of enormous wear and tear on the musket No matter how scrupulous the
`attention to cleanliness, particles of dirt eventually are ground into the surfaces
`of the wafer and the mask during the exposure. A frequent source oficontami~
`nation is fragments of photoresist that adhere to the surface of the musk when
`it makes contact with the wafer. Masks have to be cleaned frequently and fi-
`nally replaced as they wear out. This technology is not used in mainstream
`semiconductor manldactnre todayr
`Proximity printing is much more kind to the masks but in many ways is a
`more demanding technology [1]. The proximity gap has to be as small as p03»
`sible to avoid loss of resolution from optical diffraction. The resolution limit for
`a proximity printer is proportional to «I731: where )\I is the exposure wavelength
`and d is the proximity gap. When optical or neaouitraviolet exposure wave
`lengths are used, the minimum image sizes that can be practically achieved are
`around 2 or 3 pm. This limits optical proximity printing to the most unde~
`mending applications of semiconductor lithography.
`
`
`
`Figure 3 In optical proximity printing light is blocked from the photosensitive resist
`luyer by chromium patterns on a photornaslc. The gap between the mask and the resist
`must be as small as possible to minimize diffractive blurring at the edges. of the patterns‘
`
`
`
`

`
`
`
`
`A more modem variation of optical proximity printing is .x—ray proximity litho»
`gr-aphy. The diifractivc effects that ‘limit resolution are greatly reduced by the
`very short wavelengths of the x~rays used, typically around 1.0 to 1.5 nm, con
`responding to a l—l‘<e\/ X-ray energy. This represents a wavelength decrease of
`a factor of 300 relative to optical proximity lithography, or an improvement in
`resolution by a factor of about 15. X-ray proximity lithogrephyis capable of
`the best resolution of any lithographic technology today, but it has been held
`back from large-scale manufacturing by a variety of technical and financial hur-
`dles [2]. The electron synchrotron used as’ the x~ray source is very expensive
`and must support a very high volume of wafer production to make it afford
`able, Since a single electron synchrotron will act as the illumination source for
`a dozen wafer aligners or more, a failure of the synchrotron could halt produc-
`tion on an entire manufacturing line.
`Each x~ray mask alignment system requires a helium atmosphere to prevent
`absorption and scattering of the x-rays. This complicates the transfer of wafers
`and masks to and from the exposure system.
`The most challenging feature of x-ray proximity lithography is the difficulty
`of producing the lx membrane mask to the required tolerances. Since the mask»
`making infrastructure in the semiconductor industry is ‘largely geared to 4X and
`5X reduction masks, considerable improvements in tnzislomalcirig technology
`are needed to produce the much smaller features. on a IX mask. Proportional rc-
`ductions in line width tolerance and placement tolerance are also needed. X~ray
`proximity lithography has been under development for many years with the
`support. of national governments and large semiconductor corporations, The
`continued progress of optical reduction lithography has always kept x-ray prox-
`imity lithography in the role of a ‘‘next-generation.’’ technology. This will proh-
`ably continue to be the case until optical
`lithography runs into serious
`diffictilties at image dimensions between 0.15 and 0.10 pm’. At that time, x-rays
`may become the dominant microlithogrnphic technology, or they may be over»
`taken by one of the other experimental lithographic techniques that are now at
`the stage of laboratory research projects.
`
`Overview of Optical Stepper: and Scanners
`
`3.2 X—ray Proximity Lithography
`
`’l>< Scanners
` 3.3
`In the 19705, optical proximity printing was replaced by the newly developed
`scanning lithography [3]. Optical scanners are able to project the image of a
`mask through a lens system onto the surface of a wafer. The mask is the same
`as that used by ti proximity printer: il lx chrome-on-glass pattern that is large
`enough to cover the entire wafer. But the use of it projection system means that
`masks are no longer damaged by accidental or deliberate Contact with the wafer
`surface. It would be difficult to design a lens capable of projecting micromscalc
`
`__11__
`
`

`
`8
`
`Hibbs
`
`Overview of Optical Stappmzs
`
`images onto an entire 4« to 6-inch wafer in a single field of view. But a clever
`design hy the Perl-tin-Elmer Corporation allows wafers of this size to be printed
`by simultaneously scanning the mask and wafer through a lens field shaped like
`a narrow are. The lens design takes advantage of the fact that most lens aber-
`rations are functions of the radial position within the field of view. A lens with
`an extremely large circular field can he designed, with aberrations corrected
`only at a single radius within this field. An aperture limits the exposure field to
`a narrow are centered on this radius. Because the projector operates at 1>< m21g~
`nifioation, a rather simple mechanical system can scan the wafer and mask si~
`inultaneously through the object and image fields of the lens,
`Resolution of the projection optics is determined by the wavelength and nu-
`merical aperture using R.ayleigh’s formula,
`I
`
`.
`
`k, .2.NA
`
`where D is the minimum dimension that can be printed, 9» is the exposure wave-
`length, and NA is the numerical aperture of the projection lens. The propor~
`tionality constant k1 is a dimensionless number in an approximate range from
`0.6 to 0.8. The numerical aperture of the i’erkin—Elmer scanner is about 0.17,,
`
`
`
`l>< mask into an arc»
`Figure 4 A scanning exposure system projects the image of rt
`shaped slit, The wafer and mask are simultaneously scanned across the field aperture
`(shaded area) until the entire wafer is exposed.
`
`and its illumination source t
`around 400 rim. The Rayleigl
`what smaller than 2 ttm for t‘.
`The l>< scanners are still
`throughout the world‘ Resoh
`pm by using a deep~u1trnviote
`advanced lithography is hem
`described in the example at
`still retained by a 1x Scttnne
`semiconductor devices, such
`this large field size, but in r
`lithography toward stoppers
`
`3.4 Reduction Stepp
`
`Steppers were first commert
`used with a field size just 3
`ships, The fields are expose:
`an accurate .r~y stage betwe
`siderabiy greater than with
`
`
`
`A stepper oinp
`Figure 5
`The 4X or 5>< mask. remains
`sure field is shown as the sh.
`moves the wafer to the post
`is small enough, two or mt?‘
`
`
`
`...t-.w'-_‘
`
`“wt-'l¢te~\_y«fi<nnu«M~<<(
`
`{L
`
`v
`

`
`__ 12 -_
`
`

`
`C)pgfVi€lV of Optical Stepper: and Scrmners
`
`and its illumination source contains it broad band of wavelengths centered
`around 400 nm. The Rayleigh formula predicts a minimum image size some-
`what smaller than 2 tun for this system.
`The ix scanners are still
`in common use for semicontiuctor lithography
`throughout the world. Resolution of ‘these systems can be pushed to nearly 1
`ton by using a. deep-ultraviolet light source at 250—nm wtwelength. But the most
`advanced lithography is being done by reduction projectors, similar to the one
`described in the example at the beginning of this chapter. The one advantage
`stiil retained by a ix scanner is the immense size of the scanned field. Some
`semiconductor devices’, such as tivodimensiormi video detector arrays, require
`this large field size, but in most cases the need for sinalljsr iinages has driven
`lithography toward steppers or the newer step~and-scan technology.
`
`3.4 Reduction Stsppers
`
`Steppers were first oontmerclalized in the early l980s [4]. A projectrion lens is
`used with a field size just large enough to expose one or two semicondtxctor
`chips. The fields are exposed sequentially, with the wafer being repositioned by
`an accurate x-y stage between exposures. The time to expose a wafer is con«
`siderahly greater than with a scanner, but there are some great advantages to
`
`Figure 5 A stepper empioys reduction optics and exposes only one chip at 21 time.
`The 4>< or fix mask remains stationary with respect to the lens, whose maximum expo-
`sure field is shown as the shaded area. After each chip is exposed, a higlvprecision stage
`moves the ‘wafer to the position where the next exposure will occur. If the chip pattern
`is smail enough, two or more chips may be printed in each exposure.
`
`

`
`I 0
`
`Hilibs
`
`Overview of Optical Steppe.
`
`stepper lithograplty. The stepper lens can be made with a considerably higher
`numerical aperture than is practical for the full-wafer scanner lenses. The ear-
`liest stoppers had numerical apertures of 0,28, yielding a resolution of about
`1.25 pm at an exposure wavelength of 436 nm (the mercury g line). Another
`key advantage of stoppers is their ability to use a reduction lens. The damagin-
`fieation factor of 4x to 10>»: provides considerable relief in the minimum fea-
`ture size and dimensional tolerances that are required on the mask.
`The resolution of stoppers has improved considerably since their first intro-
`duction. The numerical aperture of lithographic lens designs has gradually in»
`creased, so that today values above 0.50 are commonly available, At the same
`time, there have been incremental changes in the exposure wavelength. in the
`mid-19803 there was a shift from the g-line (4313 run) to i~llne (365 um) wave~
`length for 1eadi‘ng—edge lithography. More recently steppers are being designed
`to use deep-ultrtwiolet wavelengths around 248 nm. This combination of higher
`numerical aperture and shorter wavelength allows a resolution of 0.5 pm to be
`routinely achieved and 0.35~}tm resolution to be produced in the most advanced
`production lines of 1995. Future extensions to numerical. apertures greater than
`0.60, coupled with recent advances in lithographic enhancement techniques
`such as phaseushifting masks and off‘-axis illumination, give lithographers great
`confidence that 0.25-um resolution wiil be a practical reality in the very near
`future. Even the 0.l.8~prn lithographic generation is being targeted in semicon-
`ductor development laboratories around the world, with the expectation that a
`combination of enhancement techniques and even shorter wavelengths (around
`193 run) will achieve this target before the year 2000.
`
`3.5
`
`1>< Stoppers
`
`Although the main development of lithography over the past decade has been
`with the use of reduction stoppers, a few other notable lithographic techniques
`have been used. The Ultratech Stepper Corporation developed 2: stepper with lx
`magnification. using 21 particularly simple and elegant lens design. This lens de-
`sign has been adapted to numerical apertures from 0.35 to 0.70 and wavelengths
`from 436 rim to 193 nm. The requirernent for a 1x mask has prevented the gen-
`eral acceptance of this technology for the most critical levels of lithography, but
`it is an economical alternative for the less demanding tnasltfiing levels {S},
`
`3.6 Step~and~Scan
`
`As lithographic image sizes evolve to smalier and smaller dimensions, the size
`of the semiconductor chip has been gradually increasing. DRAM chips are usu-
`ally designed as rectangles, with a 2:1 le'ngth~to-width ratio. A typical
`i6~
`megabit DRAM has dimensions slightly less than 10 X 20 mm, and the linear
`dimensions tend to increase by 15 to 20% each generationi Two adjacent
`
`DRAM chips form a Squan
`to 30 mm in diameter, Lo,
`have a square aspect ratio a
`bitter! requirements of highs
`an enormous challenge for 1
`case the demands on field 3
`veloped lithographic exposy
`scan,” in which a reduction
`field onto a portion of a we
`where the scanning process
`narrow slit, as in the older :
`whose height is the diamete
`only by the size of the mes
`Step-and—scan technolog
`of the stage motion. Where
`move the wafer rapidly to a
`during exposure. the step-as
`wafer simultaneously, hold
`nanorneters continuously dx
`
`
`
`Figure 6 A step-and-scan
`ner. The dashed outline repress
`slit~shaped exposure field ape
`across the field aperture. At lit
`where the scanning process is
`patterns.
`
`
`
`__ 14 __
`
`

`
`Overview of Optical Stepperxr and Scanners
`
`11
`
`DRAM chips form a square that fits into‘ a circular lens field that must be 28
`to 30 mm in diameter. Logic circuits. such as microprocessor ohips, usually
`i1flVt1! ti square aspect ratio and put similar demands on the field size. The com
`bined requirements of higher numerical aperture and larger field size have been
`an enormous challenge for lithographic lens design and fabrication. One way to
`ease the dent;
`cls on field size is to return to scanning technology. Recently de-
`veloped lithographlc exposure equipment employs a technique called “step-and
`scan,” in which a reduction lens is used to scan the image of a large exposure
`field onto a portion ofs wafer [6], The wafer is then moved to it new position
`where the scanning process is repeated. The lens field is required only to be a
`narrow slit, as in the older full—tvafer scanners. This allows. a scanned exposure
`whose height is the diameter of the static lens field and whose length is limited
`only by the size of the mask and the travel of the maslopositloriing stage.
`Step-and-scan technology puts great demands on the mechanical tolerances
`of the stage motion. Whereas a traditional step«and-repeat system has only to
`move the wafer rapidly to a new position and hold it accurately in one position
`during exposure, the step—and»scan mechanism has to move both the mask and
`wafer simultaneously, holding the positional tolerances within a few tens of
`nanometers continuously during the scan. Since the slep~and~scan technique is
`
`Figure 6 A‘stcp-mid-scan system combines the operations of a stepper and n scram-
`ner. The dashed outline represents the maximum scanned region. The shaded area is the
`slit~shaped exposure field aperture. The wafer and mask are simultaneously sertnned
`across the field aperture. At the end of the scam, the wafer is stepped to it new position,
`where the scanning process is repeated. In this example, the 4x mask contains two chip
`patterns.
`
`

`
`1;;
`
`Hibbs
`
`Overwew of Optical Slepperd y
`
`Various tricks have been
`systems (often called direct—\
`may improve the speed of r
`patterned areas of the circuit
`ing has been introduced \.\
`variable-sized rectangular ole
`lelism is achieved with elect
`stencil mask with zr small re
`cil mask consists of a thin, st
`terial where the toast: prrttem
`whenever there is no suitable
`absorbing pattern, as with e
`masks have difficulty with 1
`which break the continuity o
`usually designed as two cot
`tially to produce the desired
`together from a library of th
`hearrt strategy to fill in parts
`But even the fastest direct
`
`systems. Very rarely, direct-\
`graphy on lowwolume. high
`used occasionally for early
`when the parallelexposure e
`been developed yet. But the i
`been for mask making. In tr
`ous an issue. It can make <
`
`mask. In any case, there is u
`out using a seriahvriting sy
`on the prior existence of it n
`
`3.8 Soft X-Ray Proje
`Lithography
`
`The prospect of exploiting
`has recently stirred excltemr
`with photon energies around
`he considered either the lo‘
`
`wavelength limit of the ex
`with good may reflectivity
`ray astronomy community. '.
`ueuts of an all-reflective lit
`
`activity. A diffraotlon—lirnite
`
`used for reduction lithography, the mask must scan at a much different speed
`than the wafer and possibly in the opposite direction. All of the step-and~scon
`equipment designed so far has used a 4>< reduction ratio. This allows the very
`large scanned field to be aecontmodated on a smaller mask than a 5x reduction
`ratio would permit. it also allows a very accurate digital comparison of the po-
`sitional data from the wafer stage and mask stage interferometers.
`The first step-and~scan exposure system was developed by the Perkin-Elmer
`Corporation, using an arc—shaped exposure slit. The projection lens had a nu-
`merical aperture of 0.35 and was designed to use a broadband light source cen-
`tered at a wavelength of 248 nm. The advantage of the fixed—rad

This document is available on Docket Alarm but you must sign up to view it.


Or .

Accessing this document will incur an additional charge of $.

After purchase, you can access this document again without charge.

Accept $ Charge
throbber

Still Working On It

This document is taking longer than usual to download. This can happen if we need to contact the court directly to obtain the document and their servers are running slowly.

Give it another minute or two to complete, and then try the refresh button.

throbber

A few More Minutes ... Still Working

It can take up to 5 minutes for us to download a document if the court servers are running slowly.

Thank you for your continued patience.

This document could not be displayed.

We could not find this document within its docket. Please go back to the docket page and check the link. If that does not work, go back to the docket and refresh it to pull the newest information.

Your account does not support viewing this document.

You need a Paid Account to view this document. Click here to change your account type.

Your account does not support viewing this document.

Set your membership status to view this document.

With a Docket Alarm membership, you'll get a whole lot more, including:

  • Up-to-date information for this case.
  • Email alerts whenever there is an update.
  • Full text search for other cases.
  • Get email alerts whenever a new case matches your search.

Become a Member

One Moment Please

The filing “” is large (MB) and is being downloaded.

Please refresh this page in a few minutes to see if the filing has been downloaded. The filing will also be emailed to you when the download completes.

Your document is on its way!

If you do not receive the document in five minutes, contact support at support@docketalarm.com.

Sealed Document

We are unable to display this document, it may be under a court ordered seal.

If you have proper credentials to access the file, you may proceed directly to the court's system using your government issued username and password.


Access Government Site

We are redirecting you
to a mobile optimized page.





Document Unreadable or Corrupt

Refresh this Document
Go to the Docket

We are unable to display this document.

Refresh this Document
Go to the Docket